Chip123 科技應用創新平台

標題: verilog 寫有限狀態機的問題 [打印本頁]

作者: michael6172    時間: 2010-4-28 04:49 PM
標題: verilog 寫有限狀態機的問題
各位大大0 M+ }" ]0 \1 J' n* @# K

! D1 a0 U" I3 \我使用的是ISE 11.1版,在寫有限狀態機的時候,沒有把所有的狀態寫完,6 _) b+ q. c4 T! _7 ?5 C0 P# J
8 K) E: N( I0 \6 m
例如,用4bit共16個狀態,我只用到10個狀態,所以就寫10個狀態,* `) H( y8 l5 w+ l5 w1 N  A$ e, `
* D- m$ [; }5 Y+ j. ~: ~( m% p/ M& o
最後有加上default,這樣的方式寫RTL simulation 的結果是正確的,
/ V3 ^& r% ?, Q! e3 ^2 S1 l# q2 \' U* e5 |& E: A
但是,pose-route simulation 的結果就會有錯誤。
; J! e6 ?# o& h. k
' W/ A' _" t  P. [5 l1 b* l! M不曉得有沒有大大遇到相同的問題,那都是怎麼解決的?# n7 A1 L; o- N  E0 B7 Y9 m
8 K8 ]% K$ K+ |+ W# d' `
麻煩各位大大分享一下& ]! ^3 N  i8 N4 h. G4 W

% O/ J. U/ g* P* @! @謝謝
作者: russell    時間: 2010-5-5 08:45 PM
哈囉! 我發訊息給你囉!
6 j, u% Q& E6 x0 l4 L( Q7 E+ o; S. Y4 s% {- T
需要更多的info. 來幫您解決喔!
0 s: S( F5 W5 s, h. Q
$ J4 Y/ o+ r  B, I, q: yrussell0916@gmail.com
作者: ishoni    時間: 2010-5-10 09:34 PM
有沒有加上這句呢?
+ x' U- B1 e' q/ C2 ]3 @/ v# C* B6 K
"synopsys full_case parallel_case"
# u' Y& N$ l7 L& u+ Z+ p9 U9 x6 K( l4 M/ \$ r7 y5 ^0 h
幫助描述你的case status...6 p) V# L/ m' _9 q# W; R8 u4 i
, l2 _% {- G: y# t# U+ e2 S; z
有一篇文章在討論這個:: h# P: M; v; l: x  O1 c
& ~2 r7 h# K: }0 ^; V  t: d
kunalvyas.com/Documents/fullcase%20and%20parallel%20case.pdf
作者: johndoedie    時間: 2010-5-30 10:13 PM
回復 1# michael6172
8 ^6 i# ~5 [8 a' A- E
: B# [2 f( I) F- c1 r2 S會這樣通常是RTL model的方式不正確導致合成後產生latch,但沒看到code&你也沒詳細描述是怎樣的錯誤,很難找出問題/ u! A7 ]! I1 p+ z
- Q' S# G$ E9 i
另外model FSM時,個人習慣分成3個always block:
% Q& ^0 ?6 [. \9 W2 f
' }5 M2 b% q6 l3 S3 L- i   1. sequential logic part for state assign
" m4 S8 A6 Y) r$ |! t   2. combinational logic part for state transition1 G' a9 q$ J4 c7 f
   3. combinational logic part for control signals
4 H: S( Y8 N* W" F1 r; |( P, p& I- j% Q( J5 ]: z9 O& F6 ^
這樣做的好處是可以讓合成器確切的合出FSM,另外閱讀時也可以很清楚的掌握狀態的改變和每個狀態所要做的事,debug的時候比較方便,希望對你有幫助,FYI。
作者: thjan65    時間: 2011-12-29 05:53 PM
樓上建議都是對的.$ P( B1 J5 ^0 o) p( s( w4 T+ z) J3 E
但是等project 大了, 或是時間趕, 根本懶得 把 sequential & combinational 分開寫.
6 L/ E8 V1 j6 A  ?& N/ o: _; X' F! w- d3 L
總言之, 沒看到code, 無法幫您找問題.
作者: superyoure    時間: 2012-2-14 04:01 PM
還是要把code貼出來大家才可以幫你抓問題
, a8 g6 L( a* ~不然這樣的描述太籠統了




歡迎光臨 Chip123 科技應用創新平台 (http://free.vireal.world/chip123_website/innoingbbs/) Powered by Discuz! X3.2