Chip123 科技應用創新平台

標題: 原相科技選擇思源科技Laker L3 為標準客製化佈局系統 [打印本頁]

作者: jiming    時間: 2007-6-27 03:39 PM
標題: 原相科技選擇思源科技Laker L3 為標準客製化佈局系統
EDA軟體領導廠商思源科技(2473) 日前宣佈,全球CMOS影像感測器的領導廠商原相科技(3227)已選擇思源科技「Laker L3」為影像感測IC與影像處理IC客製化佈局設計(custom layout) 的標準編輯系統。原相科技是CMOS感應器整合應用(系統整合晶片)及相關半導體應用的市場領導者, 也是任天堂新世代Wii遊戲機遙控器無線影像追蹤感測晶片組的獨家供應商, 這次選擇將Laker L3客製化佈局系統導入其CMOS影像感測器的IC設計流程,是原相科技持續追求提昇整體IC設計生產力與品質的重要里程碑。
/ e5 H, C8 v; F- d# P6 I$ Y
# y4 [0 ^0 _# N8 d2 Y7 T+ ?“我們一直在努力尋找能幫助我們提高客製化佈局設計效率的創新性解決方案, 這對縮短我們整個設計週期,加速產品上市至關重要。”原相科技研發部CAD副理Jan Wang說,“得益於Laker L3 超快的資料庫引擎和連線追蹤(hierarchy net tracing)功能,Laker在處理大規模設計方面比其他同類工具更有效率。這些功能幫助我們節省設計時間,並使我們具有完成複雜SoC設計的能力。Laker是性能、功能、準確性和易用性的結合,它使我們在同業競爭中具有相當大的競爭優勢——我們的客製化佈局設計時程總是能夠優於業界,保持領先。”  t  i8 l7 E( J! ~7 I9 q! |. y

6 r" b% y% b+ W( o5 i6 ~' iLaker客製化IC設計平台以其絕佳的速度、能力與完備性,以及持續不斷的技術創新,已在全球擁有超過250家客戶,在台灣更是創下近八成的市場占有率。 經過全球多家客戶的驗證,Laker能夠在提供手工佈局設計品質的同時,比傳統佈局工具的設計效率提高50%以上。“在思源,我們深知『品質』是企業長久生存之道;思源向來秉持品質第一、持續創新的信念來服務客戶。”思源科技後段設計產品處資深處長白錫鴻說,“我們非常高興能夠幫助原相科技提高佈局設計效率,並加速其產品上市時間,這實踐了我們持續不斷地幫助客戶在各自市場領域成功的承諾。我們將持續提供高品質的及最具競爭力的IC設計解決方案予我們所有的客戶;我們期望能與原相科技建立長期的合作關係,共同開創更多的里程碑。”( F3 n) D. m& S: x

; ]8 H; b% W3 f0 h) p/ F關於原相科技
1 |7 I' t4 a1 y4 R- \; B$ P, X; e7 F
  U  \0 U" s) D# L9 t原相科技股份有限公司,成立於1998年7月,主要致力於CMOS影像感測器及其相關應用IC的設計、研發、生產與銷售。原相擁有豐富的類比IC設計、影像感測IC設計和影像處理IC設計及單晶片設計經驗,目前已是全球CMOS影像感測器的領導廠商之一。! d3 B1 Q; V, ]7 I# ]4 E5 c
  K' H6 ?4 k- q
原相科技股份有限公司目前主要的產品涵蓋:/ g* v4 n. q) W) ~/ U$ Y
1.標準型影像感應器:其中從最小的兩萬畫素的QQVGA PAS109、到最新的三百萬畫素的PAS5311影像晶片各種尺吋一應俱全。- ^1 }1 {7 p2 e! M& G$ O# F
2.專為手機相機所量身訂作的影像處理晶片組。
+ E( |( F7 G8 u$ p; {$ O! j3.光學滑鼠: 包含一般通用型光學滑鼠晶片、無線光學滑鼠晶片、及與丹麥OPDI公司合作的新一代雷射光學滑鼠晶片。
# ^7 Q, |* d* K, v4.數位與類比的整合性SOC影像晶片: 包括內含高速8051微處理器的PAC107 影像感應器、 CIF與VGA等級之電腦攝影機的單晶片完整方案、以及近日發表用於任天堂下一世代電視遊樂控制器之多目標追蹤引擎影像單晶片。
$ o, `( a, r% v# L1 Z  a2 Y
5 C7 f, J: G) @6 U. c1 |$ F. G0 q上述產品在2005年為原相創造約九千五百萬美金的營收、並使得原相成為台灣領先的CMOS影像晶片設計公司、原相已於2006年五月四日於台灣櫃檯買賣中心正式掛牌交易(代號3227) 、欲尋求更多有關原相的消息請參觀原相股份有限公司網址 www.Pixart.com.tw
作者: Oo海闊天空oO    時間: 2007-6-28 09:33 AM
對於業界的來說...
. \9 P; p1 ^/ h7 N( ~/ d7 a如何以最有效的成本達到最佳的獲利才是最大的重點..
! n+ I9 X0 m4 y$ `對於layout tools來說..
9 n' ^6 h6 L. ~# Z0 f( A9 v: T! V現在市面上的主流為cadence和laker這兩套軟體..& H) ^7 e' V  ?( A  q
以價格來說...Laker較便宜...
. U2 y+ W) W" U4 e+ W, V$ f以方便性來說...Laker可以提供自動化佈局...% `9 _2 d2 A, O: T8 M) z7 `
以Laker的發展至今...實用性也逐漸可以替代cadence..$ W+ |. ~5 ^3 C) D
目前的業界...以成本的考量..0 @" j( }8 k/ g
都傾向使用Laker作為layout tools ...
* `" N+ a; u# y% C5 v$ C! B; ~因此Laker取代cadence的機會實在不小
作者: chip123    時間: 2008-8-5 03:53 PM
標題: 上海廣電光電子採用思源科技 Laker FPD Editor客製化佈局系統
電子設計自動化領導廠商思源科技日前宣佈,領先國際的液晶顯示器供應商-中國上海廣電光電子有限公司(SVA Optronics)正式採用思源科技的「Laker FPD Editor」為其液晶顯示器佈局設計 (Layout) 的標準編輯工具,藉此加速設計時程並提升設計生產力。 ) D3 t( F  I. O7 J0 {6 a
9 x9 z; ?: {' w, o
上海廣電光電子 (SVA Optronics) 技術中心副處長 金波 表示:「我們和思源科技 (SpringSoft) 的合作很愉快。針對液晶顯示器佈局設計的特殊應用與需求,思源科技提供了非常完善的平面顯示器設計平台。它獨特的自動化設計與進階的FPD佈局設計功能,確實協助我們提昇客製化平面顯示器專案的產能。」7 K2 z& I6 r! K+ s
5 W& C' _6 w+ t5 F: N( m" _
金波進一步說明:「Laker的使用介面非常方便,我們的佈局設計工程師不需花太多時間就能輕鬆操作Laker。此外,思源科技的服務團隊相當出色,他們提供了非常優秀的服務和技術支援。」 % e5 m" L; E; ^8 s/ u! w

/ W1 P0 ]- F4 p8 o7 D; q5 m$ rLaker 客製化IC 設計平台以其絕佳的速度、能力與完備性,以及持續不斷的技術創新,已在全球擁有超過300 家客戶,舉凡世界各大半導體設計公司及專業晶圓代工廠商皆採用思源科技的「Laker 系列」產品。針對液晶顯示器佈局設計的特殊應用與需求,思源科技以其專業的Laker 客製化IC 設計平台為基礎,開發了獨特的「Laker FPD Editor」平面顯示器設計平台,並且提供這些強化的功能:自動化等電阻繞線 (automatic equal resistance routing)、自訂畫素設計元件 (user-defined devices for pixel design)、電阻值之計算 (resistance calculator) 以及階層式連線偵測 (hierarchical net tracer for debugging)。
1 x2 [% D. z7 W; @5 D8 w3 S
1 K$ Q7 l$ G8 g% Z6 R: @) d8 ?思源科技執行副總經理鄧強生表示:「我們很高興能與上海廣電光電子這樣優秀的商業夥伴合作,並透過Laker 提高上海廣電設計人員的生產力和加快其產品上市時程。我們一直致力於技術的創新,和提供市場上最先進的設計工具幫助客戶成功面對佈局設計的諸多挑戰。我們期望能在中國發展更多類似的合作夥伴。」
作者: wanjonwan    時間: 2008-8-5 06:22 PM
說的也是,cadence若不精益求精,很快就會被取代掉了,不過laker因為加了很多的功能,所以慢慢也開始有運算上遲緩的感覺,大體上來說,laker還是比較方便的
作者: skeepy    時間: 2008-8-6 01:26 AM
IC6.0沒法跟LAKER 3比嗎? 目前正在try LAKER3,
* J0 z; [2 E) P: @; I有機會的話也想玩玩IC6.0以後版本。
作者: takako    時間: 2008-8-6 01:32 PM
但是部分公司還是有舊有的包袱
0 D, I3 S- a2 e2 A& w' h改版或是data reuse * l: F, n  _) U+ e. z* Z
還有PDK 部分
. ~$ _. G5 y# l. v/ p3 t" B評估之後還是只好用cadence
作者: chip123    時間: 2008-9-4 08:54 AM
標題: 思源Laker客製化IC設計技術獲第7項專利認證
電子設計自動化廠商思源科技,宣佈其規則式(rule-based)電路圖自動產生器 (schematic diagram generator)獲得美國專利。這項專利是思源科技Laker客製化IC設計技術所獲得的第七項美國專利。這些專利肯定了思源科技所開發的Laker可掌控性自動化佈局技術確實可讓IC設計工程師避免大量繁複瑣碎的工作,協助其能以最短時間產生最佳結果。這就是Laker 客製化IC設計技術的創新與獨特。連同這項最新獲得的美國專利,思源科技所開發的Novas 驗證強化技術及Laker 客製化IC設計技術,總共擁有超過20項美國及台灣的專利。
7 H# p4 d2 l8 ~& @ ! B. @9 N. f  H3 K$ A
此次獲得最新專利的電路圖自動產生器是目前業界廣泛採用的Laker 類比IC設技平台及SDL 設計流程其中的一項重要關鍵技術。這個專用工具依據使用者匯入的EDIF、Verilog、CDL、及SPICE Netlists文件自動產生清楚易讀的電路圖,供IC 設計工程師可快速理解,重覆運用,並修改舊有的設計(legacy designs)。此外,這個自動產生器可快速處理Netlists,訂定配置規則(placement rules),適當地將元件擺置於電路圖中。
; _% N0 H3 M. l; k
9 M7 [% e* ?/ E, F思源科技執行副總鄧強生表示:「這項新專利是思源科技一個很重要的里程碑, 並肯定了我們Laker 研發團隊的堅強實力。藉此,Laker 研發團隊也再次展現他們一直以來持續致力於開發創新且實用的客製化IC設計解決方案,以幫助設計工程師能同時提高設計生產力與設計良率」。他並強調:「這個擁有多項技術專利的EDA 產品組合, 是我們引以為傲的。 思源科技未來也將秉持著不斷創新、精益求精的精神,持續提供專精的自動化IC 設計技術予全球的IC 設計業者。」
作者: 小包    時間: 2008-9-20 01:16 PM
思源有到我們公司展示L3給我們的layout工程師看,不過我們一致認為L3對一個layout工程師來說是一個負擔,尤其是analog的部分。其中我們都覺得在做mos match的方面,在軟体上設定好後,我們早就lay完了,用tool反而浪費時間。另一方面我覺得設定得太多了,過程繁索,所以我們都不用了說。我們現在全面改用golf。
作者: jauylmz    時間: 2008-9-25 10:59 AM
這句話有些怪  >>  不過我們一致認為L3對一個layout工程師來說是一個 "負擔 "
8 \9 m, {0 T0 |' w" c. ?7 D% B. F
我知道很多公司都已經在用L3包含知名的 MTK 和 排在前面幾家大廠,如果真是這樣那他們為什麼要用?5 K8 ~7 n1 z/ ?+ G  S# S# |: u+ \
0 u( E; f1 m8 x: |0 B9 @
就我個人和 同事 & 朋友的身上觀察到,初學L3 的人都比較容易有這種想法,"因為用不熟" 。
' D) u4 t& h6 m! t1 f+ h+ ~/ g, I
熟了之後,我看到例子都是速度比原來用L2 再快上很多。  D5 D4 f+ B: J/ ^
, C5 L% m/ |: P$ B$ _
不過記得剛學L3時,也覺得很麻煩,不過在熟了以後,和了解使用的概念後。就不一樣啦。
. {3 p  @/ X- {# A
4 {; }! K4 w: F8 x, O現在是不用L3 就覺得 畫起來很難過 ( 因為只能用傳統的方式作 )。
作者: jauylmz    時間: 2008-9-25 11:00 AM
再補充一點
9 Y/ |$ e/ q9 m6 Z+ D  ^; J/ y8 i. H6 r$ d
個人覺得用  L3 以後 像是 LVS & DRC  的 debug 時間 真的很明顯 減少很多。
作者: 小包    時間: 2008-10-1 01:39 PM
如樓上說的,也是有道理,但就我們公司的產品而言,主要訴求是面積,並且在mos matching上有更多的變化,L3所提供一只是其中一種,因此我才會覺得L3不適合我們,ok!?並不是說它不好,只是對每個人的適用性不同~
作者: 小包    時間: 2008-10-1 01:42 PM
再補充一點,drc/lvs的debug跟tools一點關係都沒有,為什麼呢?rule熟,drc問題自然就少;線路看清楚點,lvs的問題也不會多囉~純屬個人功力問題~
作者: softfrank    時間: 2008-10-9 03:06 PM
L3已經快要朝向自動化了) k  k' ]7 h; W- E  W- {
以後我看LAYOUT的人力~~) Q( ^8 J! n- N9 q" O
每家公司都會精簡3 F6 l* o; ]1 O! d
各位~~~未來的日子~~可想而知了吧
作者: 小包    時間: 2008-10-10 12:37 PM
I don't think so
9 E$ s. @# Q! H" ^當公司在chip方面想要cost down時,layout engineer就很重要啦6 N7 h% U3 I/ @" N+ F
電腦永遠無法比人腦厲害,當chip layout訴求面積& performance的時後6 {& D/ [+ o( m$ m7 T
需要的永遠是layout engineer' O- ^6 j2 P/ D. E
所以應該想著如何精進自已如何成為無法被電腦取代
3 E. i5 b2 V! m6 f$ {不要怕~
作者: heavy91    時間: 2008-11-10 05:06 PM
矽谷技術學院(SVTI)採用思源科技(SpringSoft) Laker客制化IC佈局系統
為其晶片設計課程之使用軟體

& ]  ]+ `* p2 R/ C0 ?8 y: S! l(
新竹科學工業園區訊) 電子設計自動化領導廠商思源科技 (2473)今日宣佈,著名的矽谷技術學院(Silicon Valley Technical Institute; SVIT)正式採用思源科技的Laker 客製化IC 設計佈局系統為其IC設計訓練課程之使用軟體。Laker 客製化IC 設計佈局系統將協助SVTIIC設計者克服下一代更複雜的半導體產品之挑戰。
$ W7 I/ u3 W3 D5 ^7 L: s

, n) I1 @! }! f. Y4 }6 o
5 R' L- ^" m# I
矽谷技術學院創始者暨董事長Dr. Ali Iranmanesh表示:「我們選擇Laker 客制化IC佈局系統,因為它是最好的系統工具,且提供設計社群一個被證明有生產力的平台。提供工程師親自體驗這樣先進的工具不但加強我們的技術課程,還可能促使我們訓練出更具積極性與充分準備的人才。」1 T0 g8 I0 C5 Z: v

& [( `+ K+ i( t6 l/ V思源科技全球企業行銷副總暨SpringSoft USA總經理 Saott Sandler指出:「思源科技向來致力於投入公共事務,作為全球自動化設計領導廠商,我們非常樂意且積極地支持目前或未來的IC設計工程師。矽谷技術學院(SVTI)提供矽谷工程師有價值的專業服務,我們很榮幸能有機會與他們分享思源最新的設計技術、最佳方法與專門知識。」
9 m) ?% _  Z1 P9 b
( L4 ~( c+ k' \0 ?關於Laker 客製化IC 設計佈局系統2 f& d1 Z. n! G! w
Laker 客製化 IC 設計及佈局系統提供功能強大的類比/混合訊號 IC 設計平台,可將現存的 Netlist 自動轉換成可讀性高的電路圖,以供設計的了解與重覆使用。系統的 Magic Cell (M Cell)、規則導向、繞線器、以及設計導向佈局流程、能在自動化過程中提供彈性及可控制性,並同時保有如手工佈局的品質。目前世界各地已經有包括7家排名於前十大半導體公司等超過300家客戶選擇使用 Laker 作為佈局設計軟體。更多的產品資訊,請至思源科技產品網站:http://www.springsoft.com/product/8 e  v3 F& C( K

; D0 A7 @: s- I5 D關於矽谷技術學院 (Silicon Valley Technical Institute) 3 D9 z. ^! t, s* K- b4 I( X
Silicon Valley Technical Institute是提供包括電子設計、設計自動化、製造業、電腦、工程和網路技術各方面訓練和研究課程的全球化專業技術組織。SVTI 總部設於美國加州矽谷,更多關於SVIT的資訊,請參考其網站: http://www.svtii.com/
作者: chip123    時間: 2009-3-5 03:15 AM
標題: 瑞鼎科技採用思源LAKER系統 執行LCD驅動IC客製化設計
2009年3月5日(新竹科學園區訊) —電子設計自動化領導廠商思源科技 (2473)今日宣佈,瑞鼎科技股份有限公司(Raydium Semiconductor Corporation)採用其Laker™客製化佈局系統為其標準設計流程。瑞鼎是TFT-LCD驅動IC的整體解決方案供應商,這些解決方案廣泛運用於大型與小型顯示面板產品。由於採用了Laker客製化佈局系統,瑞鼎工程師們能夠更妥善地因應客製化晶片設計的上市前置時間的壓力、成本與日益增加的複雜性,實現高效能、低耗電LCD的應用。
) D- X0 G: S6 A8 ^: r9 K  q
& ?% T" S9 O9 X* S" P瑞鼎長期以來一直都是Laker系統的客戶,已經將強大的規則導向自動化與裝置層功能整合到自己的標準設計佈局方法中。工程師們運用專利的Laker Magic Cell (MCell™)技術使裝置產生、編輯、與控制自動化,也運用Laker圖解導向佈局(schematic-driven layout,SDL)而能夠快速理解實體的設計實現與相關ECO,節省50%以上的佈局作業時間。
8 }; }; C" J2 i瑞鼎科技開發中心副總裁趙晉傑表示:「我們不斷微調方法與工具流程,以增進本公司設計及佈局團隊的工作效率。」趙副總進一步說明:「我們運用Laker系統已經4年了,因為這套系統為客製化佈局提供最高效率的平台。我們的工程師運用Laker執行佈局作業而省下的時間,可以投入於創造最高品質的設計,這就是我們成為值得客戶信賴的IC夥伴之成功關鍵。」
4 D4 P9 T4 P# i1 w
- o6 z# j3 E) v* H思源科技客製化IC產品行銷處長Duncan McDonald指出:「我們的目標是能夠節省工程師的時間,樂見瑞鼎科技等客戶在採用本公司獨特的Laker技術優勢的同時,騰出更多時間來為自己的設計增添價值。」
作者: jauylmz    時間: 2009-3-23 06:17 PM
人力,是有其極限的,而且Tool  主要是用來提升工作效率的。
( z( w) G9 |  l4 a1 n6 A- e" p9 x, w3 E& k. a
試問 1000個上下的 Instance Cell base design 要你 排/ 接線/ 改線,人工要花多久的時間? 用tool 要花多少時間。
, q# @% t: B- V' J4 p3 o) Y& |" \! r4 d2 u% c
這是不同的階段,現在Case 越來越大,不用tool 只是自已退步。而不是加值。 / ?) L. N! g; Y# K# l6 Z, l
) j. z) d1 a4 D8 {0 S
人力 到了一種階段就真的很難再拉上去了,用多一些人來作,Qulity 也不見得會比較好。而且人的變動成本也很大的。
+ w" {1 v, ^0 ~6 M0 Q  X1 E: @, Q3 h' \( _
用多一些的人和用好一點的Tool   只能說各有優缺點吧。
作者: onepiece1205    時間: 2009-4-28 08:31 AM
目前LAKER使用上~
' a0 j( k/ ^$ t/ b5 M
! D) T7 U) U; V, a好想還滿不錯的~2 X0 |( k$ D5 b3 b

& ~8 y+ Q$ P: C; d0 G4 @我個人就滿偏愛用~
作者: wcg1224    時間: 2009-4-28 07:39 PM
問個有點難以開口的問題,laker軟體是台灣製作???? 不要鞭打我~~~~~~阿嗚~~
作者: jiming    時間: 2009-8-26 12:10 PM
標題: 思源科技LAKER客製化佈局系統 支援台積電可跨平台製程設計套件
專業IC設計軟體供應商思源 (2473) 宣布Laker客製化佈局自動化系統(Custom Layout Automation System)完善支援業界首創的跨平台製程設計套件(iPDK),這是最近由台積電導入,供其先進的65奈米(nm) RF製程技術使用。Laker系統可在TSMC iPDK所支援的OpenAccess環境中執行,現在已經安裝至許多測試點,預期將在2010年初時全面發行。) w) y' `; R0 R% w
3 Y/ `( e' Z  A8 ?! U, E
思源表示,該公司是可跨平台PDK聯盟(IPL)創始會員,也是台積電65nm iPDK驗證夥伴。驗證流程涉及Ciranova、Magma、SpringSoft、Synopsys與TSMC之間廣泛的相互操作性測試。 # h  ^/ ~2 L* E& |3 [) I2 z
' \3 E! `' g$ t5 c, u
此外,思源最近發表了與台積電之間的多年期合約,雙方將聯合開發與驗證Laker PDKs運用於TSMC頂尖的晶片製造技術,涵蓋90nm、65nm與40nm節點,同時開始提供第一套通過TSMC晶圓廠認證之Laker 65nm CMOS PDK,雙方之間的合作導源於彼此都以可跨平台的PDKs支援作為長期目標,為客製化晶片設計人員提供更好的製造彈性、技術選擇性與設計生產力。
作者: atitizz    時間: 2010-4-13 07:39 AM
Laker客製化IC設計平台陣容擴及於全新列佈局器與客製化數位繞線器,高效率地將數位設計整合到混合訊號IC中
+ `0 b6 C" t6 R$ g/ K9 P+ ^! T' T! W( O% [
2010年3月15日台灣新竹 — 專業IC設計軟體全球供應商思源科技(SpringSoft, Inc.)今天發表了兩項全新產品,克服客製化晶片設計與日俱增的挑戰。在運用自家Laker™系統實現自動客製化設計的專業能力之基礎上,思源科技發表了Laker客製化列佈局器(Laker Custom Row Placer)與Laker客製化數位繞線器(Laker Custom Digital Router)。這兩項工具與Laker系統(Laker Custom Layout Automation System)完全相容,讓設計人員能夠在單一的客製化IC佈局環境中作業。高效率地執行在混合訊號或客製化數位設計中,數位客製化單元與標準單元的佈局與繞線作業。
3 Q' M9 v# I/ m# @4 [- U; R
# ~* ^* V7 q5 s如同既有的Laker系統一般,全新工具完全遵循業界標準OpenAccess (OA)資料庫的規範,為設計人員提供真正具備相互操作性的平台,為自己的客製化IC設計流程開發異質工具環境。全新的佈局與繞線解決方案已經為具有客製化數位與混合訊號區塊的客製化IC佈局作最佳化,不必手工佈局或將設計資料匯出至傳統的數位佈局與繞線工具。這個解決方案也能實現客製化數位區塊的精準設計,滿足關鍵的尺寸與功耗需求,遠超過一般自動化佈局與繞線工具所能達成的數倍之遙。+ q4 s  {" c9 j  N$ ^% @! U

* r; I( m- n* B' X思源科技Laker系統產品行銷處長Duncan McDonald表示:「混合訊號晶片具備多變本質 – 在混合訊號區塊、更小的面積中,更進一步混雜數位與類比並且更廣泛地運用數位標準單元,而且效能需求與低功耗限制更高 – 需要更高效率而且完善整合的客製化IC設計作法。這些全新工具建立在本公司Laker系統中客製化IC設計技術的殷實基礎之上,且為設計的數位部分更進一步新增高效率自動化。」
作者: atitizz    時間: 2010-4-13 07:39 AM
Laker客製化列佈局器% ^/ _& J6 E; o. m% N
在數位區塊的佈局方面,Laker客製化列佈局器支援標準單元與客製化單元設計(包括倍高單元);運用專利的自動化技術來執行累進式選擇與佈局,還有固有的重覆功能可以封裝佈局區塊;也運用堆疊公用程式實現資料路徑式佈局(datapath-style placement)。列佈局器也具備能夠幫助繞線的豐富功能,能夠執行列捕捉(row snapping)與重疊刪除(overlap removal)還有擴散分享(diffusion sharing),實現面積的最小化。% Q5 i& f6 O2 p: B1 S  s4 f8 f: d0 N
8 n; n2 d, e! s( n, e
Laker客製化列佈局器與客製化數位繞線器與Laker佈局系統完善整合,為使用者提供最周延的編輯功能,例如對準與分配(alignment and distribution)、邊界更新(boundary update)與重疊檢查(overlap check)。客製化列佈局器也為客製化數位繞線器執行透明的資料準備,並使壅塞減到最低限度。雖然客製化列佈局器並非時序導向,但的確可以擔當佈局最短線路長度的重責大任。
( F+ x. Z1 ?) E: c- ]7 TLaker客製化數位繞線器7 K1 `8 F# B8 [

0 L% z" _8 B' t7 `0 p: sLaker客製化數位繞線器以數位設計部分(少於50K單元)為目標,並非時序導向。直接在Laker資料庫中,或在OpenAccess中完成繞線作業,並且支援LEF/DEF匯入與匯出功能。初始版本支援40奈米(nm)規則,未來的版本將支援28nm規則。& p, c0 x7 A% r9 M
: \/ M0 p# f' [
Laker客製化繞線器綜合了格線式(gridded)與形狀式(shape-based)繞線技術;能夠不按格線(off-grid)來連接不按格線排列的腳位,還可以運用形狀式繞線技術來修正違反設計規則的任意部位。這個繞線器支援3D廣域繞線,也具備壅塞分析地圖與顯示器;與客製化列佈局器連動以確保在實務上能夠繞線的佈局;擁有全套修正公用程式,例如支援行尾空白(end-of-line spacing)、最小邊緣/最小面積、封閉邊緣(enclosure edge)與繞線後最佳化(post-route optimization);也能夠執行獨立的繞線後DRC驗證,提供錯誤檢視方便找出DRC違反。
作者: chip123    時間: 2010-5-18 04:06 PM
思源科技加入SI2開放式PDK聯盟為孕育客製化晶片設計的開放標準與相互操作性的承諾背書1 b7 v/ X' c  ?$ G0 C

; w  V9 x5 C$ D* t, P$ d/ o2010年5月18日台灣新竹 — 全球專業IC設計軟體供應商思源科技(SpringSoft)已經加入Si2(Silicon Integration Initiative )發起的開放製程設計套件聯盟(Open Process Design Kit Coalition,OpenPDK)成為會員。OpenPDK專心致力於標準的開發與推廣,以改善積體電路(IC)的設計方式。而就在前不久,Si2宣布董事會核准組成OpenPDK聯盟,定義一套建立PDKs的開放標準,可以跨晶圓廠遷移,並盡可能不依存於電子設計自動化(EDA)工具。
) \! U5 {- z( C& }2 U. U% u
6 _5 D# Z1 _5 l/ c+ cPDK就是一群技術檔案,提供客製化IC設計時所需的基礎架構元素, 如參數化單元庫(PCell)、設計規則(rule decks)、模擬模型及其他更多項目。PDKs都是針對個別晶圓廠與製程組合而建立的,以確保所有元素能夠密切配合。Si2 OpenPDK的目標就是要透過全業界適用的解決方案,提高PDK開發、驗證與供應的效率,均等地為所有晶圓廠、EDA工具供應商和使用者提供支援。
1 R5 ~3 Z6 |! i/ `
+ q$ C6 t2 W6 a; |  BSi2執行長Steve Shultz表示:「Si2歡迎思源科技的加入,我們很高興看到思源科技在孕育相互操作性與為開放標準所做的努力與奉獻。」
作者: chip123    時間: 2010-5-18 04:06 PM
思源科技也是可相互操作PDK庫(Interoperable PDK Library,IPL)聯盟的創始會員,該聯盟於2010年2月發布開放、可相互操作PCells (iPDK)的IPL 1.0標準,讓使用者能夠建立適用於幾乎所有OpenAccess相容EDA工具的 PCells。思源科技希望加入Si2 OpenPDK聯盟之後,能夠更進一步擴展現在透過思源的Laker™Custom Layout Automation System支援iPDK的努力,並幫助定義讓使用者與EDA供應商更能夠獲益的標準。
# m0 N! A( q; o6 b$ u8 ]5 r' F! |
思源科技產品行銷處長Duncan McDonald表示:「思源科技率先加入OpenPDK聯盟,再次展現領導風範,也兌現了我們實現真正開放式PDK的承諾。我們熱切期盼與Si2 OpenPDK聯盟合作,因為思源科技相信這項努力將進一步建立在Si2 OpenAccess標準上,進而帶動客製化IC設計的創新。」
% b& `3 x1 Z8 o, c
/ n( B( I& X+ G+ e# Y
) C8 a/ G$ b$ X+ b關於Si2
/ y- E" Z2 L$ r/ CSi2是業界頂尖半導體、系統、EDA與製造公司組成的最大組織,致力於開發和推廣標準,以改善積體電路設計與製造的方式,以便加速上市前置時間、降低成本,進而克服次微米設計的挑戰。Si2在創立迄今的第22個年頭,獨特地定位於透過專職人員與會員公司的堅決履行而實現及時合作。Si2代表涵蓋全世界矽晶片供應鏈中將近100家公司。詳情請參照http://www.si2.org
作者: heavy91    時間: 2010-5-25 04:13 PM
標題: 思源科技LAKER系統支援TSMC 40奈米技術可相互操作的製程設計套件
2010年5月25日台灣新竹 — 全球專業IC設計軟體供應商思源科技(SpringSoft)今天宣布,支援台積電(TSMC)的40奈米可相互操作製程設計套件(iPDK)。這是以思源科技所支援TSMC 65奈米RF製程iPDK為基礎,預計在2010年第二季結束,40奈米與65奈米TSMC iPDKs都將可搭配Laker™ Custom Layout Automation System量產使用。
1 R& m' B7 g$ _$ F. p
9 {2 D" e8 v0 c& l8 Q兩家公司之間的合作起因於彼此對於可相互操作PDKs的支援,為客製化晶片設計人員提供製造彈性、技術選擇與設計生產力。思源科技是可相互操作PDK庫聯盟(Interoperable PDK Library Alliance,IPL)的創始會員,也是TSMC 65nm iPDK的驗證夥伴。
& b; h2 s3 y! ?5 m* T
9 ]) O5 a/ z! a' D5 i7 \TSMC設計方法與服務行銷副主任Tom Quan表示:「我們與思源科技等許多頂尖供應商合作,確保iPDK實現開放且可相互操作PDK的願景。如此,TSMC支援每一客戶的最佳設計流程,讓客戶在整個設計流程中都能享用同級最佳工具。」
6 b6 S% i  \. b! ]3 Z' N+ ?" C9 J- E; y
思源科技Laker產品行銷處長Duncan McDonald指出:「我們支援TSMC 65nm iPDK,現在更達到40nm iPDK,就是我們不斷地努力以提供最完整、開放且可相互操作的設計解決方案的鐵證。我們與IPL同心協力建立PDKs的可相互操作標準,為客製化設計工程師們賦予所需的彈性與生產力,更快速實現更佳的成果。」
作者: tk02376    時間: 2010-6-1 05:49 PM
標題: TSMC採用思源科技 LAKER系統執行客製化IC設計佈局
2010年6月1日台灣新竹 — 專業IC設計軟體全球供應商思源科技今天宣布,其Laker™系統獲TSMC採用並應用於混合訊號、記憶體與I/O設計。Laker系統提供一致性、驗證有效的設計實現流程,支援涵蓋各式各樣應用的TSMC客製化設計需求。5 E; i/ x  R, L/ Z3 c% K; b
9 e; H2 Z) K* j! J- J# F1 m
作為全球最大的專業半導體晶圓廠,TSMC專注於奈米技術和百萬級閘道IC設計所需之開發能力與實證。Laker系統提供容易使用的自動化工具,縮短處理高品質且複雜的客製化電路佈局時間。
/ x: V0 Q$ G7 Y2 g( T9 v
1 T3 z+ h) a' pTSMC設計方法與服務行銷副處長Tom Quan表示:「我們的IC設計團隊站在當今要求最嚴苛的客製化晶片開發與設計實務的最前線。為了因應這些設計的多樣性與複雜性,我們運用Laker佈局系統等工具與方法,幫助打破設計障礙,不斷地進化自己精密微調的設計環境。」: d4 e; ?+ Y+ t  }

  y  R& s8 a3 E; l' r! D思源科技客製化IC產品行銷處長Duncan McDonald表示:「對於客製化晶片開發團隊而言,節省時間就是滿足積極設計目標與交貨時程的關鍵。TSMC節省的時間證明Laker工具與流程為設計環境所帶來的價值,也證明了TSMC為晶圓廠客戶所提供設計實現服務的價值。」
作者: heavy91    時間: 2010-6-15 04:06 PM
標題: 思源科技LAKER系統獲得TSMC 28nm類比與混合訊號參考流程認證
思源科技具備LDE(layout dependent effect) 認知功能的設計實現方法,克服先進製程技術與設計複雜性的挑戰
1 l* S1 R0 l/ U, ]" G9 }# |6 v7 U# I9 q- w8 `/ ~' `6 g* d
2010年6月15日台灣新竹訊 — 專業IC設計軟體全球供應商思源科技今天宣布,Laker™系統獲得TSMC開發的28nm類比與混合訊號(AMS)參考流程1.0認證合格。將Laker系統整合到TSMC參考流程,產生具LDE(layout dependent effect)認知功能的設計實現方法,提高佈局品質與設計流程生產力,以最新製造流程實現卓越的晶片設計與更佳的設計重複利用。! B: J7 |0 g2 v7 X8 g$ R0 E

& k: v; W0 U9 Z! N/ @; R) lTSMC 28nm AMS參考流程具備同級最佳設計工具與方法,解決更小、更先進半導體技術所導致的挑戰,並克服晶片設計的複雜性;建立TSMC與EDA供應商之間的協作平台與合作模式,透過以TSMC 28nm高效能製程技術為後端設計實現流程,實現混合式(多重供應商)前端功能設計的可行性。這個流程以業界標準OpenAccess (OA)資料庫為基礎,使用TSMC可相互操作製程設計套件(Interoperable Process Design Kit,iPDK),在1.6 GHz操作的ARM相位鎖定迴路(phase-locked loop,PLL)電路作為參考設計。
作者: heavy91    時間: 2010-6-15 04:06 PM
思源科技的Laker OA相容設計解決方案通過TSMC 28nm AMS參考流程與子流程驗證,包括自動化電路圖導向佈局、客製化數位佈局與繞線,以及具LDE認知功能的佈局與限制檢查等功能。與TSMC合作開發的全新Laker具LDE認知功能系統提供線上LDE分析,能夠在佈局時標示元件效能的偏差。這些功能也讓工程師們得以輕鬆地找出並檢視違反電子與佈局限制的地方。這種具LDE認知功能的方法縮短了佈局前後模擬之間的設計循環,也在矽晶片投產之前解決了40nm與28nm製程相關效應。
0 g6 |+ M! `6 E' X4 v7 @  n; [( e! |4 l2 K4 @3 D3 w
TSMC設計方法與服務行銷副處長Tom Quan表示:「思源科技一直都是TSMC的EDA生態體系中不可或缺的重要成員,Laker全新具LDE認知功能的參考流程設計實現與開發的合作做法,具體兌現了思源科技為彼此客戶提供服務的承諾。」1 a- q, M/ N: d: |( V' |

8 X  i1 _5 `* y! V* ]思源科技客製化IC產品行銷處長Duncan McDonald指出:「TSMC的28nm參考流程是半導體業界的重要里程碑,對於希望在這個製程駕馭高延展性效能、密度與功耗優勢的客製化晶片設計工程師而言尤其重要。由於擁有完整的OA標準與TSMC iPDK量產支援,Laker系統與TSMC 28nm AMS設計流程中其他供應商的工具密切整合,提供具LDE認知的自動化技術,確保最高品質的佈局與最高效益的設計流程。」
作者: atitizz    時間: 2010-8-24 04:04 PM
標題: 華邦電子採用思源科技LAKER佈局與繞線系統 設計高效能、低功耗記憶晶片
2010年8月24日台灣新竹 — 專業IC設計軟體全球供應商思源科技(SpringSoft)今天宣布,總部位於台灣的頂尖半導體記憶體全球供應商華邦電子(Winbond)採用了Laker™佈局系統與Laker數位繞線解決方案。由於部署Laker佈局工具與設計流程,華邦電子縮短了新記憶體設計的開發時間達70%,這些設計的應用範圍涵蓋SDR、低功耗DDR與行動電話用RAM等各種行動記憶體。2 s* H8 a& i/ S9 s2 O* _- P0 t
* S( W" g) |7 R  P4 y/ H) b$ z
華邦電子主要產品包括利基型DRAM (Specialty DRAM)、行動電話用RAM (Mobile RAM)、編碼型快閃記憶體(NOR Flash)與繪圖DRAM (Graphic DRAM),具備高效能與高速特性,並廣獲消費性產品、通信、電腦週邊與汽車市場領導廠商的愛用。Laker系統提供方便好用、自動化的工具,包括繞線解決方案,讓華邦電子設計團隊能夠節省區塊與晶片層間的大量時間;電路圖導向佈局(SDL)流程,提高使用者生產力;還有內建可程式化單元(scriptable cell),大幅縮短單元庫開發時間。
8 T4 j+ Q" `6 q+ J5 F. ^
4 L. q( b- V6 H4 s/ G7 h( G8 G華邦電子DRAM產品研發部副處長羅木財表示:「作為行動記憶體市場的頂尖IC供應商,華邦電子努力不懈地以高效能、高可靠性產品,提供客戶導向記憶體解決方案。思源科技為我們的設計團隊提供威力強大的佈局自動化技術與高彈性化設計流程,還有卓越的技術支援為後盾。我們的設計團隊運用Laker系統,已經能夠大幅縮短65奈米設計的繞線與驗證時間,遠勝過其他佈局工具,而且還可以繼續修改設計以確保最佳功耗與最高品質的設計實現。」
4 R5 P  J; L, W# A' \7 I: \+ f1 _5 v3 P. r& u
思源科技實體設計產品行銷處處長Duncan McDonald表示:「全球各企業全都仰賴華邦電子記憶體解決方案,涵蓋各種應用與業界。對華邦電子開發團隊而言,Laker將焦點置於卓越設計與節省時間,就是達成高挑戰性產品目標和符合時程要求的關鍵。」
作者: atitizz    時間: 2010-9-7 04:12 PM
標題: 微軟XBOX團隊採用思源科技VERDI偵錯軟體,縮短設計時間
2010年9月7日台灣新竹 — 專業IC設計軟體供應商SpringSoft思源科技今天宣布,微軟公司Xbox產品開發團隊運用Verdi™自動化偵錯系統,大幅縮短設計時間,並克服日益複雜的新世代晶片設計。功能強大的偵錯平台使微軟團隊能夠快速理解預期的設計行為、找出設計錯誤和有效管理設計版本變動,避免繁雜而且耗時的手工步驟, 與過去執行過的專案相比, 在某些領域甚至可以縮短一半的偵錯時間。  M5 D" l* A( I9 R! h: D$ x6 U

* U% Q# l. U- HXbox團隊運用Verdi系統於最新的繪圖(GPU)與微處理器(CPU)晶片設計上,由於IC尺寸的縮小與其複雜性日益增高, 使工程師面臨史無前例的驗證挑戰。驗證工程師們必須仰賴Verdi工具來自動化”尋找錯誤”的工作,並有效率地比較修正過後的不同設計版本。
) k4 G5 H9 O, v3 i
( d% a( K* B; G1 n( O3 `1 j微軟XBOX事業群資深驗證工程師Nguyen Le表示:「Verdi工具使我們縮短了一半的偵錯時間在最新的複雜晶片設計;其追蹤和比較設計的自動化功能堪稱無價。過去要耗費許多時間在追蹤問題上,但使用Verdi後,我們卻能夠迅速找出錯誤,並加以更正。」+ a9 ?# _" e$ t1 F
& W( A4 T& p) b
微軟Xbox團隊特別受惠於Verdi系統的自動化設計追蹤與比較功能。思源科技的工具提供自動化追蹤信號變動的功能,運用行為分析技術快速追蹤跨越多個時脈週期的信號變動。Verdi系統讓設計團隊能夠透過RTL描述而階層化地追蹤設計。即便搭配其他不熟悉的設計元素,驗證工程師們仍可快速理解設計碼中複雜的關係與相互影響,進而找出錯誤的根源。
1 y7 Q2 ^0 ^( M, ?6 T5 A1 {6 _9 X, Y7 T& p, x; r6 y8 Y
思源科技Verdi產品行銷處長李新基表示:「微軟的Xbox產品系列設計工作是IC設計業界要求最嚴苛和複雜的任務,看到微軟運用我們自動化偵錯解決方案所實現的成果,我們感到非常高興。Verdi原本就是為了要克服尋找、隔離與更正設計錯誤等嚴苛挑戰而精心開發的。微軟所達成的節約時間成果,在該公司所處的快步調消費性市場中更顯珍貴。」
作者: heavy91    時間: 2010-9-27 04:01 PM
立錡科技採用思源科技LAKER軟體 實現電源管理IC的客製化設計與佈局
1 m) s% K! h" O& g. n$ T
! j. d6 _, D! X. _0 F9 K4 }* p2010年9月27日台灣新竹 — 專業IC設計軟體全球供應商思源科技今天宣布,立錡科技導入Laker先進設計平台(ADP)於其設計輸入的標準流程中,並應用Laker™ 客製化佈局自動化系統以實現其客製化的晶片設計流程。Laker軟體產品使立錡科技設計團隊能夠縮短產品上市時間、克服成本與設計複雜性的挑戰,讓劃時代的電源管理解決方案能夠應用於各式各樣電子系統。6 ]. |" A! v4 t9 L7 k7 r

. C- \6 a: z+ {" Q立錡科技是全球最大的桌上型電腦主機板電源管理IC供應商,同時也是廣泛運用於手機、數位相機、網路通訊設備、大型液晶顯示器、筆記型電腦等設備的電源管理IC的頂尖供應商。由於將Laker ADP與佈局產品融入到自家的設計方法中,立錡科技創造了完善、高度自動化的客製化設計流程,以更短的時間獲得更卓越的成果。Laker ADP環境包括直覺式、全功能電路圖編輯器:具備開放式模擬中控台與波形分析儀;專利的Laker Magic Cell (MCell™)技術,能夠使裝置產生、編輯與控制作業自動化;還有Laker電路圖導向佈局(SDL)流程,加速客製化電路的實體設計實現。
* q( n6 q- [- J3 h: e
4 e4 Q- p* H5 D' w! E2 C% M- W立錡科技副總經理張國城表示:「我們專心致力於提供最具競爭力的電源管理IC產品、最周延的系統解決方案以及最高品質的設計服務。為了滿足這些標準,我們的設計團隊必須擁有一致、驗證有效的晶片設計流程,能夠支援涵蓋眾多應用的客製化需求。思源科技藉由Laker系統提供因應緊迫開發時程所需的自動化技術與技術支援,讓我們的客戶能夠推陳出新而享受卓越的競爭優勢。」8 z2 [/ G7 n7 c
; a. P3 Q6 s# ]; _
思源科技實體設計技術產品事業群副總經理李炯霆表示:「立錡科技是我們非常重視的長期客戶。我們也非常感謝立錡科技設計團隊信賴Laker系統,正如同許多客戶仰賴立錡科技的IC解決方案與專業一般,依靠我們的工具與流程。Laker系統為客製化晶片設計與佈局提供高效率平台,讓立錡科技能夠更輕鬆自在地滿足客戶的獨家需求,同時實現全新一代的高成本效益電源管理IC。」
作者: heavy91    時間: 2010-10-12 04:17 PM
標題: 京東方科技全面部署思源科技LAKER系統 支援先進的液晶顯示應用
2010年10月12日台灣新竹 — 專業IC設計軟體全球供應商思源科技今天宣布,總部位於北京的液晶顯示器(LCD)製造廠商京東方科技集團股份有限公司(BOE Technology Group Co. Ltd.)已經採用Laker™客製化佈局自動化系統,作為標準的客製化晶片設計平台。京東方科技是思源科技的長期客戶,目前正擴大部署Laker系統,從早期的4.5G、5G與6G FPD產品應用,升級到最新的8.5G陣容,實現先進的技術開發。
; f6 P9 N: w1 \0 `" I# f9 m% O1 j8 ?" i" ?; F
京東方科技開發、製造與銷售TFT-LCD面板與模組,尺寸從1.8到55英吋,主要運用於筆記型電腦、顯示器與液晶電視。京東方科技在先驅技術與顯示器產品方面的研究工作,專注在低耗能、影像品質、廣角視野與綠能科技等領域實現卓越效能,滿足數位生活的無盡需求。透過廣泛地使用思源科技Laker客製化佈局產品、設計流程與專業客戶服務,BOE也實現了新一代快速且高品質的FPD設計解決方案。: F$ ~; a- k3 [2 E- A
京東方科技陣列設計總負責人徐宇博表示:「Laker是功能強大的環境,具備液晶顯示器專屬功能與工具集,能夠簡化各式各樣產品應用的設計工作。這些絕佳功能搭配思源科技的卓越客戶服務,為我們的開發團隊提供了實質的支援。並將持續扮演關鍵的角色,幫助我們推動新一代液晶面板在資訊技術與電視市場上繼續保持優勢」
) t2 m  f& y# \) V  I9 M- u; n* Y: P1 s6 N9 S+ |
思源科技客製化IC產品行銷處長Duncan McDonald表示:「京東方科技是中國大陸電子業中快速成長的技術領導創新廠商,也是液晶市場的重要指標企業。思源與BOE的長期夥伴關係,就是我們致力提供最佳佈局解決方案與專業服務的最佳鐵證。」" t/ S) P- l+ g" z
( h$ z1 q  q. K3 Q7 n" ]
Laker客製化IC佈局系統提供方便好用的工具,還有妥善控制的自動化技術,以及專為液晶顯示應用而量身訂製的設計套件。Laker FPD編輯器榮獲當今5大FPD供應商中4家廠商的愛用,使京東方科技工程師們能夠在單一環境中,建立、驗證而且輕鬆地進行FPD設計平台的客製化晶片佈局。先進的FPD編輯功能包括自動化等電阻繞線(equal resistance routing)、畫素設計的使用者定義裝置、電阻值計算(resistance calculator)與設計偵錯時的階層式連線偵測(hierarchical net tracer)。
作者: atitizz    時間: 2010-10-27 10:52 AM
標題: 韓國海力士(HYNIX)同時部署思源科技的Verdi與Laker軟體
2010年10月26日台灣新竹 — 專業IC設計軟體全球供應商思源科技今天宣布,海力士半導體(Hynix Semiconductor Inc,Hynix)已經在Verdi™自動化偵錯系統與Laker™客製化佈局自動化系統上完成標準化。海力士是思源科技的長期客戶,部署Verdi軟體作為數位設計的偵錯平台,也部署Laker軟體作為快閃記憶體應用的客製化晶片設計平台。
( E" D0 D. @4 f8 d4 C: A% H; Z5 ^. D' l
海力士總部位於韓國,是動態隨機存取記憶體(DRAMs)、快閃記憶體(NAND Flash)與CMOS影像感應器(CIS)晶片的全球頂尖記憶體半導體供應商,為全球各大企業供貨。思源科技工具讓海力士的開發團隊能夠在高品質記憶體解決方案的功能驗證與實體設計方面大幅提高生產力。+ w8 J' l$ W3 x* {: j% J
" ^4 Q8 O0 }+ Z3 e. d2 e& x
海力士電腦輔助工程分析部門(CAE)主管 Lee Sang-IL表示:「提供最好的記憶體解決方案就是我們的核心能力。這需要世界級EDA平台,以最高水準的生產力與效能滿足我們的設計與驗證需求。思源科技瞭解這一點,為我們的設計團隊提供最高價值,運用Verdi與Laker聚焦於最關鍵的挑戰。我們已經因為他們的省時自動化技術而獲益良多,當然也期盼能夠持續這項成功的合作關係。」
$ k: f6 r* n3 H* a: F- ?2 t) T- T2 H  Q. i
Verdi自動化偵錯系統是思源科技的先進偵錯旗艦產品,也是驗證強化解決方案系列的基石;運用Verdi獨家分析引擎、威力強大的視覺化功能以及專利設計追蹤技術,使理解複雜的IC與系統晶片(SoC)設計的流程自動化,進而縮短一半以上的偵錯時間。Laker解決方案提供高度自動化的客製化晶片設計環境,以更短的時間生產更卓越的成品,包括直覺式全功能電路圖編輯器、使裝置產生自動化的專利Magic Cell (MCell™)技術,還有完整的電路圖導向佈局(SDL)流程以加速實體設計實現。
+ d: {  C8 D$ ~' a. O
* a% z! ~+ f/ {' ?0 j- J. k思源科技營運長暨執行副總鄧強生表示:「Verdi與Laker是目前晶片開發生態之中重要的一環,因為它可以節省工程師的時間,在更短的時間內完成更多驗證,以及花費更少力氣而產生高品質設計。海力士承諾以一流工具與設計流程打造產品開發引擎,鞏固其產業領導地位,並帶動韓國核心記憶體事業與半導體產業起飛。」
作者: siangabsence    時間: 2010-12-1 05:29 PM
cadence和laker! l/ a5 Y3 p! _# S/ \! a
在學校是使用cadence- j3 e* [2 g6 a  ~$ _; C! w
第一天上班
- v: n& n& ^2 T' T& Z; f# ^& A& r公司使用LAKER1 a  O. x% U: y" t- k" A5 f
恩~"~不會用
作者: amatom    時間: 2011-1-10 04:18 PM
富士通半導體運用思源科技的CERTITUDE功能驗證系統 改善其汽車微控制器的驗證品質& \3 C8 [9 W& E. @

1 Q- r  y3 B' ?) m9 H4 Z  E9 f2011年1月10日台灣新竹 — 專業IC設計軟體全球供應商SpringSoft思源科技今天宣布,Certitude™ 功能驗證系統榮獲富士通半導體有限公司(Fujitsu Semiconductor, FSL)選用。Certitude軟體讓該公司汽車事業部的設計工程師能夠提高驗證環境與智慧財產(IP)設計元件的品質,這是開發各種汽車電子應用所需微控制器(MCU)解決方案的關鍵。+ P% W5 U$ j( N  b+ l4 Y
8 C" q% q$ g5 a- i& M
日本的設計團隊原本使用Certitude系統來評估現有暫存器RTL模擬式驗證環境的品質、提升內部IP品質,以及篩檢第三方IP設計是否符合該公司汽車應用所需「FR」產品系列(32bit RISC CPU)的品質要求。基於目前為止的正向成果,富士通半導體將擴大部署Certitude軟體以供德國蘭根與慕尼黑團隊使用,著手開發新一代汽車半導體產品。
; d9 Z/ o( c/ V" E" G
: X1 t2 y" D8 |$ B6 M富士通半導體汽車事業部總經理Yasuaki Dokko先生表示:「供應裝置給汽車市場時,我們目前的驗證環境足以滿足目前所需的品質。但藉由使用Certitude我們更能夠客觀地衡量自家驗證環境的品質,做更進一步的改善。將Certitude系統引進到我們的『Cedar』 ASIC 設計服務流程及以覆蓋率驅動的驗證環境裡,我們可以得到更扎實可靠的驗證。我們相信這可以幫助我們提供更高品質的產品給客戶。
作者: amatom    時間: 2011-1-10 04:18 PM
富士通半導體設計團隊使用Certitude先進的變異基礎測試(mutation-based testing)技術、智慧型偵測演算法,以及獨家反覆式的開發方法(iterative methodology),以取得徹底驗證的早期指標,快速找出和修正錯誤,以及提高testbench穩固性,以滿足嚴格的汽車品質標準。此系統容易與思源科技屢獲嘉獎的Verdi™ 自動化偵錯系統整合,讓工程師們能夠增強具體化、周延性以及驗證結果的偵錯。
  x8 W+ Z7 s& @( ~- a
& T' l: s1 `9 B9 Q( `0 N  E思源科技產品行銷處長George Bakewell表示:「對於富士通半導體的汽車設計團隊而言,確保嵌入式IP與微控制器解決方案的最高品質是最重要的,這需要最新的驗證環境與技術。Certitude為以RTL為基礎的工具和作法提供順暢、高度自動化的驗證流程,儘早找出最大的問題、更快速揭露驗證工作的主要漏洞,以及產生比傳統涵蓋方法更完整而且客觀的結果。」! o- M5 x3 p  [6 k5 X; a% E/ g  I
" L. `5 U- b  d2 \
關於Certitude功能驗證品管系統9 g. ?% p! p- _. ~4 T
5 K: A( I- X8 j/ d' K- R
Certitude™ 功能驗證品管系統消除驗證的不確定性,並加速複雜IP與SoC設計的功能收斂;獨家自動化技術結合變異分析技術與靜態分析,以評量效率、找出重大缺點,進而提高HDL模擬驗證環境的品質。Certitude系統具備與既有工具流程的相互操作性,並且與現有全部功能驗證方法完全相容,也是思源科技的Novas™ 功能驗證強化產品系列中不可或缺的一環,讓工程師們能夠以更短的時間完成更多驗證工作。
作者: amatom    時間: 2011-1-19 08:14 AM
矽谷新創公司PARADE TECHNOLOGIES採用思源科技VERDI偵錯系統執行數位視訊介面晶片驗證
" ^6 C; [* ~3 x# `: d4 l$ o: S" z9 H
2011年1月17日台灣新竹 — 專業IC設計軟體全球供應商SpringSoft思源科技今天宣布,頂尖視訊顯示器與類比高速介面IC供應商Parade Technologies Ltd.已經選用Verdi™自動化偵錯系統作為標準偵錯平台。屢屢獲獎的Verdi軟體已經部署在Parade位於中國上海的設計中心,大幅縮減偵錯時間並加速數位顯示介面晶片的功能驗證,這個晶片支援最新的高清晰度多媒體介面(High Definition Multimedia Interface,HDMI™)與DisplayPort™標準。2 r* p; Q: p2 r4 ^: O& {

. d% _& n0 t' u8 M7 }% CParade是無晶圓廠半導體公司,專精於開發視訊顯示器與類比高速介面IC,運用於各種個人電腦與消費性電子應用,包括數位電視與LCD面板。Parade是全球半導體聯盟(Global Semiconductor Alliance)公認的2009 & 2010「最有潛力新興企業」獎入圍者,結合驗證有效的高速類比、混合訊號和數位設計專業與系統層知識,以提供先進的解決方案,將產品效能、能源效率與客戶滿意度推上新高。
作者: amatom    時間: 2011-1-19 08:15 AM
Parade行銷副總裁Jimmy Chiu表示:「我們才剛起步,面對莫大的壓力,必須確保產品具有競爭力和高品質。事實上,數位視訊技術越來越複雜,全新世代與介面標準又不斷地推陳出新,這對我們的邏輯驗證工程師們而言,更增加了挑戰性。幸好,Verdi追蹤設計問題的功能強大且快速,遠勝過使用邏輯模擬器內嵌的偵錯工具。因此,我們能夠全面加速驗證工作,更有信心地將設計投入試產。」1 \& _. d1 F. R2 }) S
* U% X5 B; }+ p( y
Verdi自動化偵錯系統是思源科技的旗艦級先進偵錯產品,透過自動化的理解複雜IC與系統晶片(SoC)設計工作的過程而縮減一半的偵錯時間,在不熟悉的老舊設計元素或第三方智慧財產方面尤其實用。這套系統以獨家的分析引擎將原本須長時間執行的特性追蹤自動化,提供不同的面向觀察晶片的具體設計,並幫助分析因果關係,還使用專利技術來揭露設計、斷言與系統testbench之間的功能運作與互動。
7 G$ {6 W: P  ~* X6 t+ L$ N0 i, R! {: ^2 e
思源科技大中國營運主管許偉表示:「我們很榮幸,在中國擁有設計中心的最有潛力新興IC公司Parade Technologies選用Verdi來提高核心設計能力,作為其驗證流程的重要環節,擁有足夠的實力支持全球主流的OEM客戶。思源科技致力於中國IC設計產業共同成長,持續的在我們的專業自動化技術方面進行投入,希望能夠使本地區半導體產業鏈上的大大小小的公司都能夠獲益。」
作者: amatom    時間: 2011-1-31 04:34 PM
標題: 思源科技VERDI低功耗設計偵錯模組榮獲DESIGNVISION 2011大獎
2011年1月31日台灣新竹 — 專業IC設計軟體全球供應商宣布該公司的Verdi™低功耗設計偵錯模組榮獲2011 DesignVision大獎,這是United Business Media LLC (簡稱UBM)旗下UBM Electronics的The EE Times Group主辦的一項年度大獎。今年的頒獎典禮將在2011年2月1日於美國聖克拉克會議中心,與DesignCon 2011 Conference同時舉行。8 v6 A: [7 q( h/ Z

, M+ A* f+ `0 d; K; R& X* {: c5 j( eDesignVision大獎表彰業界最有創意的半導體公司、最卓越遠見與最成功設計工具的成就。UBM依據市場前瞻性、產品原創性以及品質卓越性為基準,在八大產品類別中挑選出令人驚艷的產品;思源科技Verdi™低功耗設計偵錯模組在系統建模與模擬工具類別的入圍者中脫穎而出,獲頒大獎:' T2 \0 t7 q) P5 O
http://designcon.techinsightsevents.com/designvision_awards2 z! E* w/ M% @8 f) ?: ?% ~

4 [9 I: E$ L) M1 f: s" cVerdi低功耗設計偵錯模組於2010年2月上市,簡化低功耗系統晶片(SoC)設計的驗證過程,加速理解低功耗設計意圖,並對低功耗相關設計特性進行自動化追蹤與分析工作。Verdi針對低功耗設計格式標準與設計偵錯自動化的獨特整合,讓工程師們節省在多重電源領域、多變功耗模式與眾多功耗移轉(power transition)的寶貴設計驗證時間。有關說明Verdi低功耗設計偵錯方法之功能與優點的詳盡技術白皮書,歡迎至思源科技網站查詢:www.springsoft.com/whitepapers/power-aware-debug
- y3 N/ o! g! x5 `, _6 U  H% N2 U' H- H: l8 o5 Y
思源科技董事長暨執行長呂茂田表示:「思源科技非常榮幸能夠獲頒DesignVision大獎。我們瞭解客戶需求然後提供與眾不同產品與專屬自動化技術,協助客戶滿足市場需求的價值能夠獲得表彰,尤其令人高興。這些原則就是本公司開發策略的核心,促使本公司Verdi研發團隊能夠運用通用低功耗偵錯平台彌補令當今SoC開發人員頭痛的功耗驗證鴻溝,更輕鬆地解決設計早期暫存器轉換階層的錯綜複雜功耗課題。」
作者: globe0968    時間: 2011-2-15 03:59 PM
標題: 力晶科技採用思源科技LAKER系統作為記憶體晶片設計的標準平台
2011年2月15日台灣新竹 — 專業IC設計軟體全球供應商思源科技今天宣布, 力晶科技(Powerchip Technology Corporation)採用Laker™客製化佈局自動化系統作為記憶體晶片設計的標準平台。力晶科技提供大量DRAM產品,例如DDRIII/DDRII DRAMs,並開始大量生產NAND快閃晶片。運用Laker系統方便好用的工具與自動化設計流程,提高其設計團隊20%的生產力。
4 H+ i' x. |2 H  o; a
# K% x+ A* N, G* l1 }* r0 N透過讓開發部門內更多的小組都能夠享用Laker軟體,力晶科技提高了生產力,並且縮短開發循環,而加速現有記憶體產品與新一代高密度記憶體晶片的生產前置時間。力晶科技研發副總經理陸續博士表示:「我們公司藉由強化自己的競爭優勢,盡力使自己的產品陣容更豐富。Laker以設計卓越和省時為特點,讓我們的設計團隊能夠達成嚴苛的產品目標與交貨時程。」. \9 N6 v) _$ T- O% t
# c( f' R( u4 |6 L, g8 }
思源科技實體設計事業群副總經理李炯霆表示:「Laker是高度自動化的客製化晶片設計環境,具備全功能電路圖編輯器、Magic Cell技術以實現自動化裝置產生,還有完整的電路圖導向佈局流程以加速實體設計實現。這些功能與高水準的生產力息息相關,而且方便好用,讓力晶科技的設計與代工服務團隊能夠聚焦於自己的核心能力,並以更少的時間提供更優異的記憶體解決方案。」
作者: atitizz    時間: 2011-3-2 09:06 AM
標題: 思源科技SILOTI系統簡化系統晶片驗證的能見度自動增強技術與偵錯流程
2011年3月1日台灣新竹 — 專業化IC設計軟體全球供應商思源科技今天宣布,具備順暢、方便好用的系統晶片(SoC)驗證與偵錯流程的Siloti™能見度自動增強系統開始供貨。$ l. {- |+ Z3 A% W$ s. l% p! G
. o- Y+ T9 h- z5 ~" x; g
這個最新的軟體版本納入了全新可重複利用的特性分析資料庫,減少多餘的分析時間;並且在運用思源科技Verdi™自動化偵錯系統執行偵錯時,可以加速設計準備時間,比舊版系統快10倍以上。
, v1 G1 {3 E# W& I) O2 e& z( s1 s* G. ]4 ^  K4 U
思源科技的Siloti系統從邏輯模擬記錄所需的最基本訊號資料,實現對複雜IC與SoC設計功能特性的完全能見度。這個流程使模擬費用降到最低,並提供資料讓Verdi系統用來實現更高效率偵錯與分析。這個全新Siloti版本讓工程師們能夠選擇最符合驗證需求的特型分析模式,在各模式之間清楚地切換,並儲存/重複利用分析結果,更進一步強化偵錯流程的能見度自動增強。
作者: atitizz    時間: 2011-3-2 09:06 AM
思源科技產品行銷處長李新基表示:「能見度自動增強技術就是要提高驗證產能與可預測性。能夠在偵錯時順暢地重複利用特性分析結果,讓我們更容易實現這些技術的生產力優勢並節省費用。我們非常高興能夠將最新版的Siloti系統交給工程師們使用,這是能見度自動增強技術運用模型的一大里程碑,真正降低了新使用者的入門障礙。早期使用者們紛紛稱讚測試結果,某些數百萬閘道設計的載入時間加快了100倍之多。」
$ `7 a+ z+ ]4 X6 J' ~: Z' \
; C6 ?4 Y  Q' ?; v$ l) I: Q, i簡化的能見度自動增強流程2 T0 F4 G- U, E9 P" ~2 {
4 n- t- B( }; }! [" \
全新的Siloti流程以一致化基本訊號資料庫為基礎,能夠讓眾多模擬工作共同分享,還可以搭配思源科技的設計知識與快速訊號資料庫(分別為KDB與FSDB)的開放式架構來使用。可運用全新特性分析資料庫中儲存的所有結果,針對每個設計只需執行一次完整的基本訊號分析,不必在偵錯時多次反覆的執行。工程師們也能夠運用儲存的結果來修改訊號模擬中使用的基本訊號清單,以供進一步的分析與偵錯使用。. A/ ^" a- L3 Z8 q* Z) G: o

: n8 S' H' k0 L5 G3 K% T由於Siloti與Verdi環境之間的使用者介面完善整合,分享以屢獲嘉獎的Verdi系統為基礎的單一使用者圖形介面,現在Siloti使用者也能夠運用這個全新流程實現更高的生產力。當流程中必須使用兩種系統時,使用者不必再以手工方式切換工具了;在Verdi偵錯作業時,不但會自動召喚必須的工具,而且Siloti引擎可在背景中通透地執行。
作者: globe0968    時間: 2011-3-9 07:49 AM
思源科技與Dongbu HiTek共同發表一系列LAKER PDKs 使客製化晶片設計更順暢
) z/ I) y& o- C% y) g$ e9 @( q: _# |" H8 Y, Y' [( x- P+ y
2011年3月8日台灣新竹 — 專業IC設計軟體全球供應商思源科技,與全球專業晶圓技術與服務領導廠商南韓Dongbu HiTek Co., Ltd.,今天發表一多年期合作計畫,共同開發一系列製程設計套件(PDKs),運用Dongbu頂尖的製造技術,使客製化晶片的設計與製造流程更加順暢。兩家公司今天也發表Dongbu HiTek 0.18微米BCDMOS晶圓製程專屬的思源科技Laker™ PDK,未來一年內將會陸續發表更多PDKs。! w- F2 n3 b# t6 R, E$ m; H5 ^3 U

( K8 A' d2 d1 K7 |. u" x/ F此一率先獲得晶圓廠認證合格的Laker PDK支援Dongbu HiTek的0.18微米BCDMOS製程技術,讓晶片設計人員能夠整合高水準的電源與類比控制和邏輯功能,最適合應用在音響和馬達控制ICs、車用SoCs與LED驅動晶片等產品。此PDK包含晶圓廠專屬的元件符號、經過高度最佳化的參數化單元(PCells)、預先驗證的設計規則和最新的技術檔案。搭配思源科技的Laker客製化佈局自動化系統使用時,此PDK可自動化類比設計的實體佈局流程,提高設計人員的生產力,並縮短開發時間。Laker 0.18微米BCDMOS PDK現在已經在線上提供給Dongbu HiTek客戶使用。/ ?7 p. x9 _' \4 L

( h5 G- a% e( O2 mDongbu HiTek副總經理Taek-Soo Kim博士表示:「我們的BCDMOS製程可應用在各式各樣的頂尖晶片設計中,並且為先進的類比與電源控制設計提供良好的基礎,以最佳化其效能或成本。透過將我們在晶片上的專業技術與思源科技的客製化設計工具相結合至Laker PDKs中,晶圓廠客戶們現在可以立即享受雙方的設計自動化與製造技術,依循驗證有效的道路而達成一次就完成晶片設計的目標。」
- F1 z; j: V' u7 z; z& H  ~  y: X9 V8 G  Z$ i% X/ T- l4 D
思源科技實體設計技術與產品事業群副總經理李炯霆表示:「我們非常樂見Dongbu HiTek使用Laker工具而實現的成功夥伴關係,現在我們更期盼能夠在此合作基礎上,發揮Dongbu HiTek在專業化類比與混合訊號晶圓技術方面的優勢;雙方戮力合作,讓彼此的客戶能夠更輕鬆地設計和製造晶片,達成成本、效能與上市前置時間的目標。」
作者: globe0968    時間: 2011-3-11 04:29 PM
思源科技與明導國際攜手合作  整合Laker 與CALIBRE REALTIME系統 領導業界邁進SIGN-OFF導向客製化佈局流程
7 V* |0 v8 t: K: W2 o0 l' i業界唯一以OpenAccess為基礎的Calibre DRC整合,加速邁向晶圓廠sign-off之路,並縮短客製化晶片的設計時間
# [$ i5 H4 c4 p' u) ^( p5 S- r
$ u0 E) D/ B7 [4 ]; f2011年3月11日台灣新竹 — 專業IC設計軟體全球供應商思源科技今天發表其具備全新sign-off導向佈局流程的Laker™ 客製化佈局軟體,而明導國際(Mentor Graphics)今天也同步發表全新Calibre RealTime平台。思源整合Calibre RealTime至Laker系統,提供在Laker OpenAccess (OA)佈局環境中的即時設計規範檢查(DRC)功能,實現在設計建立時的sign-off品質實體驗證。這項獨家功能讓Laker使用者能夠以更短時間產生高品質的客製化佈局,即使在最先進的技術製程中,也能夠更快速地實現晶圓廠sign-off流程。Laker sign-off導向佈局流程已經開始支援最新版Laker OA軟體並已在市面上銷售。5 t! Z/ v; {2 U# U
7 N$ H9 A3 G5 b
思源科技與明導國際攜手合作,樹立了另一個提供以OA為基礎的客製化晶片設計解決方案的策略性里程碑,實現真正的相互操作性。Laker系統原本就透過專屬的自動化引擎與電路圖導向佈局流程,提供以設計規範為導向的實體設計。而將Calibre RealTime DRC整合到Laker環境之後,使其功能更上層樓,因為它同時具備通過晶圓廠驗證的業界最優秀OA客製化設計實現流程,以及可供晶圓廠sign-off使用的Calibre DRC引擎等優勢。Laker sign-off導向佈局流程是運用OA執行模型而建置的,讓兩個世界級工具配合得天衣無縫,兌現了OA相互操作性的承諾。
作者: globe0968    時間: 2011-3-11 04:29 PM
明導國際行銷處長表示:「明導國際與思源科技了解客製化IC設計工程師在先進製程與設計規則擴張上所面臨的挑戰,並建議這些規則可以在Calibre sign-off DRC與Laker 客製化佈局的整合環境中被解決。OA執行模型(RTM)的應用程式介面提供Calibre 與第三方工具緊密結合的機制,並藉助Laker團隊豐富的經驗使用OA 執行模型作最佳的整合。」& k3 R: |' G- E1 E# [6 p+ H) K

$ C6 f* W" X& E( s/ C+ SLaker Sign-off導向佈局流程5 o8 |8 l8 e& v$ \3 P5 I( ~3 {

* Y* _4 q0 n4 s( L+ `4 ^思源科技實體設計與技術產品事業群副總經理李炯霆表示:「Laker讓客製化晶片設計人員能夠花費最少的精神,來實現卓越的佈局成果。明導國際的Calibre RealTime與Laker的整合也秉持同樣原則。設計人員可以運用sign-off導向客製化佈局流程,更快速地達成設計收斂,並縮短客製化晶片的整體設計時間。」
( [% Z2 [- i; ]: \  O8 d, a+ v3 f+ z) g
思源科技與明導國際團隊密切合作,確保Calibre RealTime DRC方便好用,而且讓Laker使用者能夠立即上手。所有努力都聚焦於提供即時且簡易的操作,幾乎可立即獲得結果。設計人員每次在Laker佈局環境中編輯多邊形(polygon)、路徑或佈局位置時,Calibre RealTime都會偵測變更,並在發現變更時自動執行設計規範檢查(DRC)。無論何時,使用者僅需一次點選,就能執行更多規範或不同的規範集。此外,圖形使用者介面讓Laker使用者能夠輕鬆地定義客製化規範集與設計式樣,完全不必編輯「黃金(golden)」規範檔案。使用內建的Calibre RealTime錯誤檢討工具列,不僅可以獲得立即的回饋,而且所有錯誤導航都在Laker環境中。使用者能夠專注於建立DRC-clean佈局,不必像使用許多工具流程時一般忙著切換視窗了。
作者: atitizz    時間: 2011-3-14 05:59 PM
思源科技強化LAKER ADP設計輸入系統,使具備OPENACCESS相容性的IC佈局流程臻於完備
5 c, B* H% H% k& T5 V6 ?
& Y' w& V- ~! o+ h2011年3月14日台灣新竹 — 專業IC設計軟體全球供應商思源科技今天宣布,最新版Laker™先進設計平台(Advanced Design Platform,ADP)設計輸入工具開始支援OpenAccess(OA),並以OpenAccess (OA)標準為基礎提供完整的一貫式客製化IC佈局流程。最新版軟體也包括許多強化設計輸入、分析與導航生產力的功能與改善。5 M; t' Z8 `% x& n8 W4 b
3 k: e. M/ T# Y* S# O
Laker ADP是完善整合的系統,涵蓋全功能電路圖輸入工具、開放式模擬中控台以便管理連結第三方模擬工具的介面,以及互動式波型分析器。Laker ADP為設計人員提供高效率、省時的輸入點,以驅動該公司屢獲嘉獎的Laker客製化佈局自動化系統,精準地連結設計意圖與通過生產驗證的電路導向佈局(SDL)流程中的關鍵限制。現在,由於OA支援,使用者可以更輕鬆地在Laker ADP與佈局環境之間悠游,提供邁向實現Laker客製化佈局平台自動化優勢的順暢道路,進而建立業界最卓越的OA相容IC設計流程。/ }4 T+ w1 Z/ V2 o9 w6 l, r

2 J$ ?. j# p1 m8 }/ ~思源科技實體設計與技術產品事業群副總經理李炯霆表示:「由於支援OA,思源科技建立了自己在提供開放、可相互操作解決方案的領袖地位。最新版Laker ADP提供完整的以OA為基礎的客製化IC設計流程,實現更上層樓的生產力以及在設計流程中其他關鍵工具的整合。」
作者: atitizz    時間: 2011-3-14 05:59 PM
OA支援進一步擴展相互操作性. n" x. c" A# M9 a. G' |
; Z' K* z) c& K7 O2 Q7 A6 N: X7 o
OA標準化的努力源起於Si2,由於單一資料庫與專為IC設計而建立的應用軟體程式規劃介面,大幅減少了典型電子設計自動化(EDA)流程中的轉譯步驟數。思源科技投入大量資源發展OA工作平台,使其提高IC設計的生產力,並與其他業界領袖和主要OA支持廠商合作,開發、測試和建置實現異質工具環境中真正相互操作性所需的基礎架構與技術。
4 O# N! b9 g4 [( F; {9 S& p透過在Laker ADP中的OA建置,從設計到佈局的整個Laker工具流程現在都支援可相互操作性PDK程式庫(Interoperable PDK Libraries,IPL)聯盟標準1.0,包括iCDF、回呼與PyCells,提供與頂尖晶圓廠可相互操作性流程設計套件(iPDKs)的相互操作性。Laker ADP透過OA API 輕鬆實現了在系統中與第三方工具的整合。# v( y/ \& N% o2 y

8 j0 R* f. Y2 a# M5 c; s' I. z全新功能實現更高效率
6 v1 Y8 k& S. B; O' F. ]
9 G: c6 y. w- Z! {6 O2 A除了支援OA之外,最新版Laker ADP也提升了既有功能並新增省時功能,促進與第三方工具的更妥善整合,以及對複雜設計的更深入分析。涵蓋強化的開放式模擬中控台實現與坊間流行的類比模擬器之間的更高效率互動,讓設計人員不必離開Laker ADP環境即能指定模擬參數和執行模擬。電路圖編輯器的強化包含支援多張圖片、嵌入模式編輯、畫面上參數編輯、自動完成繞線以及動態電路強調。+ \" Y( _3 A+ K1 f
( w  c$ o! J5 f8 {( G2 i
李炯霆表示:「隨著設計益趨複雜,不斷地新增功能使設計建立與分析流程自動化,並為類比與混合訊號設計功能提供更周延的支援,是很重要的。由於這個最新版本,我們強化了客製化IC設計輸入功能,同時維護本公司電路圖導向佈局系統的熟悉外觀與優勢;這使得工程師們更容易探索和驗證客製化設計,進而充分發揚整個Laker IC設計流程的優點。」
作者: amatom    時間: 2011-4-11 03:52 PM
宜揚科技採用思源科技Laker客製化數位繞線器系統 實現更快速、更高效率的NOR Flash產品設計
( u/ x# o$ f  a/ Q) i1 ]; P1 ~" t# L. q7 }; Y, V, Z; h2 E( H
2011年4月11日台灣新竹 —思源科技今天宣佈,其Laker™ 客製化佈局自動化系統與Laker客製化數位繞線器更深入普及於記憶體晶片市場,成功地滿足新一代設計的高效能、低功耗需求。該公司同時表示,宜揚科技 (Eon Silicon Solution Inc.,簡稱Eon)運用Laker解決方案,佈局速度提升了3倍之多,大幅提高其生產力,因而晉身頂尖記憶體晶片公司之列。  K: a1 _8 O1 ~  |1 S; W$ F* A

6 j8 R. Q& q+ P# t4 q5 L/ e, U思源科技實體設計與技術產品事業群副總經理李炯霆表示:「越來越多大型的全球晶片廠商仰賴Laker建置功耗與品質最佳化的的記憶體解決方案,包含行動與高效能系統專用的晶片。我們的產品之所以能普及於記憶體晶片市場,該歸功於Laker的客製化數位繞線技術,提供專業自動化且卓越的設計,讓各企業能夠達成更積極的產品目標,同時節省寶貴的設計時間。」
作者: amatom    時間: 2011-4-11 03:53 PM
Laker廣獲記憶體晶片市場採用
1 L1 ]2 f; @9 i  e" O
# X; D1 `7 B: z4 |! p8 }/ I" F4 h1 S宜揚科技是無晶圓廠半導體公司,專心致力於非揮發性半導體記憶體,也是最近公開宣布使用思源科技Laker客製化佈局與數位繞線工具的記憶體晶片供應商。作為全球10大頂尖快閃記體體(flash)供應商之一,宜揚科技提供最廣泛的NOR Flash記憶體,涵蓋運算、通信、消費性與工業市場和應用,包括從512Kbit到512Mbit密度的平行(ISA)與串列(SPI)快閃記憶體的5V、3V、1.8V產品系列。
) o6 a& f  L1 f5 ?, S  b7 [$ L* ~/ }% J* L; S9 n
宜揚科技研發協理Hsiao-Hua Lu指出:「為了贏得客戶對本公司產品與服務的滿意度,我們承諾永續提升品質。這包括為我們的設計人員提供領先同級的工具,以及更新、更先進的技術。就好像宜揚科技一般,思源科技也致力於Laker產品的永續改善。在快閃記憶體設計方面,我們一直都很滿意Laker佈局工具的表現,尤其喜歡Laker全新客製化數位繞線器的順暢整合,以及絕佳生產力與使用方便性等優勢。」
作者: amatom    時間: 2011-4-11 03:53 PM
使用Laker工具進行記憶體晶片設計的公司不斷地增加,例如海力士半導體公司(Hynix Semiconductor Inc.)與華邦電子(Winbond Electronics Corporation)。Hynix海力士總部位於韓國,是全世界頂尖的動態隨機存取記憶體(DRAMs)、快閃記憶體(NAND Flash)與CMOS影像感應器(CIS)晶片的記憶體半導體供應商。Winbond華邦電子總部位於台灣,是頂尖的半導體記憶體解決方案全球供應商,部署Laker佈局與繞線系統以供各種行動記憶體應用之需,例如SDR、低功耗DDR以及手機RAM。華邦電子的專業DRAM、行動RAM、NOR Flash與繪圖DRAM產品廣獲當今消費性、通信、電腦週邊與汽車市場領導廠商的愛用。(有關詳情,請參照關於Hynix海力士與Winbond華邦電子使用Laker工具的其他新聞。)9 G. p- X; I$ l" A+ Y# `: Y# U
# d) Y6 q6 ~$ L* @9 F9 P
記憶體晶片專用的Laker客製化數位繞線技術! b9 U- x# f2 y; x* R
# _  W& Z" M* y0 p& d
Laker客製化數位繞線器於2010年3月上市,是結合網格式和以外形為基礎的繞線技術的繞線器,最佳化混合訊號與客製化數位設計。這種獨家組合支援記憶體專屬的繞線形式,例如backbone與secondary spine繞線,實現極高的完成率。客製化數位繞線器與Laker佈局工具完全相容,讓佈局工程師能夠在單一、高度自動化的客製化IC環境中作業,客服更小實體面積、更高效能要求和低功耗限制等記憶體晶片設計瞬息萬變本質的挑戰。
作者: amatom    時間: 2011-4-19 03:52 PM
大陸芯原公司全面採用思源科技VERDI偵錯系統 實現數位IC與系統晶片驗證
. v, r; G+ d, I
; T, Z5 b& J0 O2011年4月19日台灣新竹 —思源科技今天宣布,頂尖的世界級ASIC設計與半導體IP供應商芯原股份有限公司(VeriSilicon Holdings Co. Ltd.,以下簡稱芯原)選用Verdi™自動化偵錯系統作為標準的偵錯平台。屢獲嘉獎的Verdi軟體現已全面部署至VeriSilicon全球研發部門,大幅縮短偵錯時間並加速先進技術製程中的複雜數位IC與系統晶片(SoC)設計。: i! a. n6 p* u

& ^. {9 l2 p. B* K, |, c芯原已經將Verdi平台整合到該公司通過量產證明的驗證流程,並適用於絕大部份的數位設計專案。芯原設計工程師使用Verdi套裝工具與設計分析功能,加速佈局前後的功能驗證工作。由於能夠從多重視野具體顯現設計的階層與關係,芯原工程師們可以快速找出問題並追蹤根本原因,偵錯時間大幅縮短。
3 R0 K7 U  F3 D# \  V; j2 E3 G/ w
1 _9 `* ~; L% P- y5 Q; z! z芯原工程副總經理蔣壽美表示:「芯原是頂尖設計服務公司,不斷地淬鍊和改善自己的設計與驗證流程。Verdi是流程中的重要工具,讓我們能夠提供領先同業的設計服務品質,進而強化整體驗證能力。」
作者: amatom    時間: 2011-4-19 03:53 PM
Verdi自動化偵錯系統是思源科技偵錯工具系列的先進旗艦產品,透過將理解複雜的IC與SoC設計運作的過程自動化,而使偵錯時間縮短一半,在不熟悉的設計元件或第三方智慧財產方面尤其實用。這套全功能的系統運用專屬分析引擎,使長時間特性追蹤的工作自動化,提供威力強大的設計視野,並且有助於分析因果關係,更運用專利技術來揭露功能運作,以及設計、斷言和系統testbench之間的互動。
0 c7 v! F" r5 [8 ~# j. K. R
3 L0 [% V/ J/ n2 G* [, R思源科技亞洲銷售副總林榮堅表示:「像芯原這種業界領袖採用Verdi作為標準偵錯平台,尤其是在中國大陸快速成長的IC市場中,就是Verdi在驗證領域穩居領袖地位的鐵證。我們期望芯原與思源科技之間的密切合作關係,在未來能夠為雙方在大中國區甚至於全球的客戶提供更高的價值。」, \/ R2 M7 x/ y* _9 C! T2 ~

% `3 h' v4 H  z2 j) I/ r關於芯原6 W1 D$ [2 h* D, y8 ^

* s, \/ T) f+ }# @芯原股份有限公司(VeriSilicon Holdings Co., Ltd.,簡稱為VeriSilicon) 創立於2002年,是快速成長的IC設計公司,提供客製化晶片解決方案與SoC (系統晶片)承包服務。芯原的技術解決方案結合可授權的數位訊號處理(ZSP®)核心、eDRAM、超值的混合訊號IP陣容與其他星網型IP (star IP)到SoC平台,並擴及於65nm以下的製程技術。這些平台嘉惠各種消費性電子裝置,例如機上盒與家庭閘道器(Home Gateway)、行動網際網路裝置與行動電話、HDTV和藍光DVD機。芯原針對客戶特殊需求而提供客製化設計與製造服務,涵蓋最初的SoC規格與應用軟體、RTL,以及透過晶片樣本的後端設計實現,還有利用亞洲與美國多家服務夥伴、裝配與測試公司而提供的量產服務。芯原的研發中心目前分佈在中國大陸上海與北京、美國聖塔克拉拉與達拉斯、芬蘭奧斯陸;在美國聖塔克拉拉,中國大陸上海、北京與深圳,日本東京,台灣的台北與新竹,韓國首爾,法國尼斯與德國慕尼黑都有銷售與客戶支援辦公室。有關詳情,請上網查詢:www.verisilicon.com
作者: globe0968    時間: 2011-5-2 04:48 PM
思源科技新版VERDI偵錯軟體可完全支援UVM以提升驗證方法整合度* W% }+ W5 \, A
Verdi UVM程式碼與交易層加強分析功能,使SystemVerilog testbenches的偵錯更容易2 {% B2 a5 _7 w: z4 ]; m
2 n8 m: B1 A: s# Y) F# x- S8 s
2011年5月2日台灣新竹 —思源科技今天宣布Verdi™自動化偵錯系統開始完全支援Universal Verification Methodology (簡稱UVM)。Verdi軟體在既有的HDL偵錯平台上新增全新的UVM原始碼與交易層(Transaction Level)訊息紀錄功能,讓工程師們能將複雜的SystemVerilog testbench結構具象化,以便輕鬆地進行先進系統晶片(SoC)裝置測試的偵錯工作。: T8 ?6 d- ^  C1 x  ~5 }( Q
. r% P# q1 e/ J/ S8 o
UVM即將成為業界標準,可確保整合來自不同來源或運用不同方法開發的testbench程式碼(也稱為驗證IP)能夠重複利用並具備相互操作性。Verdi在整合的testbench與設計偵錯環境中加入新功能支援UVM相關偵錯工作,實現更高效率的交易層資料記錄與檢視功能,遠勝過目前UVM基礎架構所能提供的偵錯訊息。由於能夠在交易層中具體看到testbench與正在測試的設計之間更豐富的資料,Verdi使用者能夠更完整地觀察整個驗證環境,這在複雜的回歸測試階段(Regression Test)尤其重要。
7 x7 w: h/ L4 X( ^" ~# K: X. X& o$ v, G. s) W7 Y/ d( i
思源科技產品行銷處長李新基表示:「SystemVerilog具備獨特的優勢可克服驗證複雜性,而UVM提供實現更佳驗證相互操作性的基礎架構。我們的UVM支援結合了Verdi現有廣受業界採用的功能與更佳的UVM交易層記錄功能,萃取更多偵錯所需的關鍵資料。讓工程師以更自然的方式、更深入瞭解和分析testbench活動,進而判斷testbench或設計中是否發生了問題。」
作者: globe0968    時間: 2011-5-2 04:48 PM
更佳的UVM建置& }) }7 z7 O3 r) B) F* |; K

/ b: F( L1 ]- K思源科技在業界標準SystemVerilog程式庫支援之上完整支援 UVM原始碼。此外,思源科技在Verdi系統中提供了客製化SystemVerilog檔案,可清楚地記錄所有UVM元件之間的完整交易層紀錄到Verdi 的FSDB ( Fast Signal Database)。交易層訊息可運用於既有的Verdi波形工具中,或全新推出的序列圖表(Sequence Diagram)中。這種自動化機制免除了手工記錄的麻煩,不必也不必改寫testbench將交易輸出為文字訊息。
& l" T( c; y; a3 t, M9 Y3 s5 k3 ]. D& `' N8 R) [7 S$ y- s
嶄新的UVM testbench偵錯功能運用Verdi環境的多功能交易層偵錯環境,讓工程師能夠在模擬後快速地進行testbench與設計的偵錯工作。主要功能包括試算表式的表格檢視可以進行訊息的排序與過濾,方便好用的類別瀏覽器(Class Browser)可以瀏覽Testbench結構,還有自動化來源碼追蹤功能可以找出testbench問題的源頭。隨著UVM的使用不斷地演進並獲得廣大業界的採用,思源科技將計畫在Verdi加入更先進的動態資料記錄功能,並建立更多偵錯自動化功能。
作者: tk02376    時間: 2011-5-11 04:06 PM
思源科技新版CERTITUDE功能驗證系統  提供創新先進的偵測與檢驗功能
3 K; K6 I4 n2 F/ m4 |2 Y4 k% W7 I* S0 W" x7 p$ d$ C5 d
2011 年 5 月 11 日台灣新竹訊 — 思源科技今天宣佈Certitude™功能驗證系統的重大發展,能夠以更多元且更具效率的方式配置驗證方法。新推出的自動偵測與驗證環境檢驗功能是其中主要的創新,以更少的資源,迅速確認晶片驗證環境中的潛在問題,同時持續改良驗證流程。
- D- {. L5 G7 {1 j3 j' s* @8 g3 g1 x* n; L# D. \
功能驗證就是確認晶片設計建置依據指定方式運作的流程。Certitude軟體是市面上獨家提供,能夠客觀驗證IP與系統單晶片(SoC)設計檢驗機制與測試的工具。Certitude技術平台擴充的目的在於儘早、且更頻繁地檢查重要功能,確保驗證環境擁有高信心水準的「signoff」品質。這項研發里程碑是思源科技的使命與產品藍圖中不可或缺的一環,目的是加速目前越趨複雜SoC設計的功能收斂。
, Q, G- G3 F3 ]3 @6 R' `$ ?% ]' C& ]( F/ [  i& a* I
思源科技產品行銷部門處長George Bakewell表示:「Certitude逐漸成為功能驗證signoff流程中不可或缺的一環,新版的Certitude提供嶄新的自動化技術與基礎架構,快速提供實用的晶片驗證環境品質資訊,讓工程師們能夠排定工作優先順序,更有效地運用系統資源。更重要的是,我們也將這個功能驗證使用模型延伸至更早期的驗證流程,而不僅當作流程末期的單點工具(point tool)而已,協助SoC團隊擁有更高品質的設計,signoff更迅速。」
作者: tk02376    時間: 2011-5-11 04:06 PM
更周延的技術平台  S) D1 ^# b0 t0 I( ~6 P. J* ]9 \( T

; j# _& f2 q( C' V% |$ v7 s思源科技的Certitude軟體結合專利自動化技術以及以變異為基礎(mutation-based)的技術與靜態分析,能夠評估效能、確認重大缺失,並且改善HDL模擬驗證的結果品質。換句話說,這套系統會將錯誤(人為變異)置入暫存器轉換階層(RTL)設計中,並且使用工程師選擇的數位邏輯模擬工具,針對每一項變異進行測試。測試結果包含詳盡的錯誤啟動(activation)、傳遞(propagation)與偵測等功能檢測資訊,以評估整體驗證流程,同時找出並修正漏洞,譬如缺漏的功能查核、不完整的測試過程,以及基礎架構問題。分析無法傳遞或驗證環境無法偵測的錯誤,並準確地指出測試向量、觀測性(observability)或結果檢驗程式的問題,進而協助修正。3 S; e- l& K8 x5 G3 u

$ n/ g9 J8 j& j. M, k5 W% a* XCertitude技術平台新增錯誤偵測、排序與追蹤功能,在整個驗證流程中能夠更迅速地提供重要訊息回饋、縝密分析結果,並且簡化錯誤分析。Certitude系統發現未檢出(Non-Detected)的錯誤時,會自動排除(drop)其他與此ND錯誤相關的錯誤,避免浪費寶貴的時間。這項功能最初以邏輯錐(logic cone)為基礎,已經過多年的粹煉。這套系統現可運用延展邏輯錐方法,由單一錐體延展排除標準,進一步減少「雜訊」因素,提供錯誤排除功能。
4 }" V( e$ y* X- r7 U2 D4 P
; a& t$ ~' f- D# ]$ `# _; S工程師能夠更迅速地找出應優先處理的ND錯誤。新的錯誤排序與優先排序功能可說明特定錯誤類別(fault class)中,那一個ND錯誤應率先分析,以及該使用那一個測試程式。特定ND錯誤的測試也會依據傳輸時對輸出造成的影響為基準自動排序。
作者: tk02376    時間: 2011-5-11 04:06 PM
Certitude系統在找出足夠的重要結果時會自動停止,如此一來工程師便能夠持續分析、修正並排除問題。這項偵測自動停止功能有賴預先定義的標準,譬如優先錯誤類別以及類別中的ND錯誤,以驗證目標為基礎,定義出一個合理的中止點。除此之外,由於Certitude在不同作業間設計碼經常變動,因此這套工具採用自動化錯誤ID架構,能夠明確對應錯誤ID,讓用來分析結果的報告內容維持一致性。2 c! k& h9 x* A6 n# i
2 L9 t- }# I9 S7 A$ e
更簡單完整的驗證 Signoff
+ I) s  D5 q2 ^
/ L! @& s" r7 c. `6 ^思源科技也將引進新使用模式做為Certitude平台擴充的一環,能夠讓Certitude配置在早期的驗證流程中,評估環境偵測非特定行為的能力。在大多數的SoC驗證環境中,檢驗程式基礎架構基本上在所有撰寫測試方案前就已就緒。這套新模式旨的設計主要在以更少的模擬資源,快速產生模擬結果,以便能在早期評估檢驗程式效能,並且指出問題所在,譬如不正確或漏失的查核程式。除此之外,思源科技的產品藍圖將進一步拓展Certitude應用範圍,戮力實現完成度更高的功能驗證sign-off工作。我們的研發成果涵蓋各個領域,包括更完備的SoC層應用,以及強化的斷言(assertion)支援。
作者: amatom    時間: 2011-5-18 04:39 PM
日本VDEC採用思源VERDI偵錯軟體提升VLSI設計教育效率& K. U$ q& A: g; X
部署Verdi系統為數位電路設計與EDA技術課程的標準偵錯環境
- E0 I" g7 }2 \* R2 ]) V2 F  @: R* i# \
2011年5月18日日本橫濱 —思源科技今天宣布,日本VLSI設計教育中心(VLSI Design and Education Center) (VDEC)將提供思源科技的Verdi™自動化偵錯系統給日本的國立大學、公立大學、私立大學與學院,作為教育用途。VDEC是VLSI (超大規模積體電路)技術教育中心,以提升日本半導體產業VLSI設計教育及支援VLSI晶片製造為宗旨。& q# p4 }) v1 g

: C. s2 L! H# o! R0 P$ d$ BVDEC在2010年4月經過審慎評估之後,選擇了Verdi偵錯系統。主要決策關鍵在於思源屢獲嘉獎的偵錯系統具備高效能、使用方便且為業界廣泛採用。VDEC將部署Verdi系統的偵錯自動化與分析功能,以提升該機構的研發效率。Verdi軟體將成為VDEC數位電路設計的標準偵錯平台,並且運用於EDA技術課程、大學的研究與實習,以及VDEC實驗室舉辦的教育訓練課程。! M) ^# O  z+ v7 O

( S3 }& g  d8 x/ Z東京大學VDEC主任Kunihiro Asada教授表示:「Verdi的深度與廣度令我們印象深刻。除方便好用之外,也毫無部署障礙,能夠與我們在VDEC所使用的電路圖、模擬與合成工具緊密結合。學生們可藉由Verdi學習到自動化偵錯工具的功能、實用性與價值。而且,Verdi提供許多先進功能,不僅是重要的教育工具,也是VDEC數位電路設計的首選偵錯工具。」
作者: amatom    時間: 2011-5-18 04:39 PM
思源科技產品行銷處長李新基表示:「我們相信Verdi作為VDEC的標準偵錯系統,必將在提升VLSI設計驗證效率上扮演重要的角色。由於能夠使用頂尖的工具及累積實際操作的經驗,足以讓VDEC使用者作好準備,克服半導體設計複雜性的挑戰,日本半導體業界與其VLSI工程師必定受益匪淺。」
+ b  r" [7 R9 c1 {  H2 F
" F/ G0 W& S1 E" [0 T關於Verdi系統
9 B. V6 L3 K3 b7 {5 L* cVerdi自動化偵錯系統是思源科技偵錯工具系列的先進旗艦產品,透過將理解複雜的IC與SoC設計運作的過程自動化,而使偵錯時間縮短一半,在不熟悉的設計元件或第三方智慧財產方面尤其實用。這套全功能的系統運用專屬分析引擎,使長時間特性追蹤的工作自動化,提供威力強大的設計視野,並且有助於分析因果關係,更運用專利技術來揭露功能運作,以及設計、斷言和系統testbench之間的互動。
3 e4 R! S* ^$ p* B; K4 T5 r, m9 c% g1 b# Y' q+ c7 X6 O1 S/ N
關於VDEC ) L3 R, p# x, }
VLSI設計教育中心(VDEC)位於東京大學中,創立於1996年5月。VDEC是VLSI (大規模積體電路)教育中心,以改善日本各國立大學、公立大學、私立大學與學院的VLSI設計教學和VLSI晶片製造的支援為宗旨。日本全國153家大學的640個研究群組現正享受VDEC的支援與服務。在日本,VDEC與其9個分支機構提供許多CAD軟體授權。
作者: jcase    時間: 2011-6-7 04:27 PM
思源科技LAKER 客製化佈局系統榮獲 TSMC 台積電 28奈米 參考流程採用
: O3 \. W- M! T' ~) M. Y7 s1 \. {業界頂尖技術供應商合作,打造創新、以開放標準為基礎的類比混合訊號與數位設計佈局流程
9 X+ }: j, Z* q. G' b; j* u4 v. d
" Z$ l( B. K! B9 g$ d! G2011 年 6 月 7 日台灣新竹訊 — 專業 IC 設計軟體全球供應商 SpringSoft 思源科技 今天宣布,Laker™ 客製化佈局系統 獲得台灣積體電路製造股份有限公司 (TSMC) 青睞,已獲選進入台積電28 奈米 (nm) 類比與混合訊號 (AMS) 設計參考流程Reference Flow 2.0 以及數位設計參考流程 Reference Flow 12.0 中。+ S1 C; w1 ~5 @3 V/ k
3 I/ U3 Y& M5 i4 o% n
TSMC AMS Reference Flow 2.0 與 Reference Flow 12.0 具備最卓越的設計工具與方法,能夠解決晶片設計因為在 28 nm技術下複雜度提升所面臨的挑戰。思源科技與台積公司以及其他頂尖工具供應商合作,研發重大基礎架構革新以及 AMS Reference Flow 2.0 子流程,其中包括佈局從屬影響 (LDE) 認知、寄生認知 (parasitic-aware) 以及低功耗功能佈局。思源科技本次參與 TSMC Reference Flow 12.0,著重在可製造性設計 (DFM) 校正能力,透過自動化的方式讓佈局更為周延,進而改善數位設計的良率。 0 u( c$ V0 k( ~, P
& o: i  j* c2 F& {% F
台積公司設計建構行銷處處長 Suk Lee 表示「思源科技是TSMC EDA 設計生態環境與參考流程團隊寶貴的長期合作夥伴。他們參與設計參考流程並研發更卓越的 Laker 新功能,實現思源科技『為彼此客戶提供服務』的承諾」。
7 U7 \- b6 S- C% m: }# j( z
# m, i+ W/ }+ R思源科技參與 TSMC 28nm 參考流程的概要情形,將於即日 (6 月6 日) 起至星期三 (6 月 8 日),在美國加州聖地牙哥舉行的第48 屆設計自動化會議 (DAC) 中進一步說明,請至 TSMC Open Innovation Platform (OIP) 攤位與講堂 (#2535/2648) 瞭解詳情。
作者: atitizz    時間: 2011-6-15 03:42 PM
標題: 思源股東會通過股利分配現金股利1.35元
(新竹科學園區訊)思源科技一百年股東常會,今天上午在新竹市新安路二號 (新竹科學工業園區管理局第一會議室)舉行,會中通過九十九年營業報告書、九十九年財務報表及股利分配案,同時於會中改選新任第六屆董事及監察人。0 f0 S, ~. c! c* g+ ~4 @

5 c; i3 d. j" U( y9 t: I思源科技今日股東常會核准民國九十九年度營業報告書及財務報表,其中全年合併營收淨額約為新台幣2,177.5百萬元,稅後純益約新台幣461.6百萬元,每股稅後盈餘為新台幣2.22元。會中並核准通過每普通股配發現金股息1.35元(以扣除買回庫藏股4,000仟股後之流通在外股數計算)- G& v0 b5 G! @8 t7 D0 k
) S. U+ U/ X5 z6 R" m5 ]8 H# j  z
本次股東會通過之新任第六屆董事及監察人名單如下:# S) P4 m) D0 O$ l, C9 E! w
(1)董事:呂茂田先生4 t7 _7 l9 g% J: R
(2)董事:鄧強生先生
, M$ P! W( Q8 U+ I$ w% E(3)董事:王峰根先生8 d" ?% t- w- x0 `# h
(4)董事:黃炎松先生9 {9 ~- C) d. t( ]3 _
(5)董事:卓允中先生
2 P0 H0 J6 D. ]0 Z! [1 ]; C(6)董事:國立交通大學(代表人:蘇朝琴)
& _: ~8 ^. t, R5 w( n* [(7)獨立董事:巫錦和先生
9 @2 ~6 k* ~" _  S7 v1 \2 y(8)監察人:陳調鋌先生
* N; g* ]7 V% V( A. H6 y(9)監察人:陳永財先生; ~9 U6 H0 `& V$ G# j2 m  X
  f4 i$ ~: u& X" r) N' s
同時本公司並於今(15)日召開第六屆第一次董事會,會中全體董事一致推舉呂茂田先生續任董事長。
作者: globe0968    時間: 2011-10-3 04:49 PM
標題: 思源科技發表Verdi VIA交流平台 創造思源、客戶、夥伴三贏契機
偵錯產品的領導廠商開放其設計智識平台,使用者可在系統晶片的設計及驗證流程中開發並重複使用客製化的Verdi協作應用程式
5 }; F3 \# ~, I  i
4 D1 S! Z+ e5 N2011年10月3日台灣新竹 —全球IC設計的EDA供應商思源科技今日發表了Verdi協作應用平台(Verdi Interoperability Apps, VIA),這是一個在Verdi自動偵錯系統上建立及分享客製化應用程式的開放式平台。這項由思源科技所提出的創舉,是EDA業界走向開放架構及著重各軟體間相互操作性(Interoperability)的重要里程碑。此平台讓使用者能在業界最受歡迎之偵錯軟體的智識資料庫中任意提取設計資訊,並建構了免費的交流平台讓工程師們分享開放來源碼的應用程式。
& i3 _7 D0 N  O6 U- F5 ?
+ Q; h# {& r" Q6 l* C. m  KVIA交流平台包含了最新設計並可連結思源標準資料庫的應用程式介面(API)與一個專屬網站:www.via-exchange.com,該網站可讓使用者下載VIA介面、程式工具、以及能在建立Verdi客製化程式時使用的元件庫。目前已擁有超過六十個函數(functions)和程序(procedures),以及三十支以上的應用程式提供下載。這些程式是由思源科技的工程師們,Verdi的使用者,以及包括了Avery Design Systems, NextOp Software, Inc., Real Intent, Source III, 和 Vennsa Technologies在內的合作夥伴們所提供。
作者: globe0968    時間: 2011-10-3 04:49 PM
思源科技驗證產品事業群副總許有進博士表示:「現今的系統晶片設計的驗證流程需要關於設計結構和行為的大量資料,而分析這些不同領域之間的內在關係對於一個完整的流程來說是極為重要的。要解決這個複雜的問題,需要將各種不同的商業軟體以及客製化工具緊密的結合在使用者的流程中。而我們的VIA交換平台提供了開放式的架構以及完整的相互操作性(Interoperability),可以為所有的Verdi使用者以及應用程式開發者解決這個問題。」
( P% V* P* F- D4 _* d/ X1 Y7 [' J" a: P9 x: g" l
      瑞薩電子技術發展中心共通EDA平台發展部的部門經理Mr. Toshinori Inoshita 表示:「我們將Verdi視為標準的偵錯工具,並廣泛地使用在各個區域,而透過VIA交換平台,我們得以將Verdi的功能最大化。藉由VIA程式的幫助,我們針對我們的環境將Verdi客製化,使其更有效率而且更容易使用。特別是在萃取資料的應用上,我們能利用Verdi豐富的設計資訊以幫助偵錯,並提供我們的工程師們一個標準的設計平台。」; t4 N' p: t  ~* B

/ ~1 D1 z% s& f# eVerdi客製化的開放式平台, R& v6 W% c5 v3 Y; n( ~+ t
思源科技旗下獲獎無數的Verdi軟體是一套可加速理解包括了智慧財產組塊(IP)、設計區塊(modules)、以及系統晶片(SoC)等設計內容的全自動偵錯系統。這套系統建構在包括了專業資料庫、分析引擎、以及各種應用介面的統一設計智識(design knowledge)平台上。此平台能透過編譯、提取並保存需要的設計資料,進而充分展示存在於各個不同的設計、斷言、以及系統測試元件間的功能運作和互動關係。
作者: globe0968    時間: 2011-10-3 04:49 PM
隨著VIA交流平台的發表,思源科技開放了儲存在其設計智識資料庫(KDB)和快速訊號資料庫(FSDB)中大量關於設計、模擬以及分析的資訊。藉由在思源的第三方工作夥伴軟體上使用這個經驗證過的相同介面,VIA交換平台的使用者可以將設計智識(design knowledge)應用在他們為其設計流程量身訂作的應用程式中。這個介面包含了開放來源碼的工具指令語言(TCL)程式以及C++程式,使用者可以很容易地建立有關設計理解、驗證和操作的應用程式。思源科技同時也提供了快速入門的操作手冊,以及一系列的訓練課程和諮詢服務,以幫助試用者學習並建立應用程式。4 y2 S+ w1 T4 ^- z
9 d. S8 x1 [. k2 l
VIA交流平台推廣重複使用的概念: p. K$ k# r- g2 W
VIA交流平台的網站已於七月廿四日上線,其中包含了Verdi開發工具、操作文件以及程式集。所有的程式都是以來源碼的格式撰寫,並授權使用者修改和重複使用。目前選出的程式涵蓋了包括設計查詢、工具及設計流程整合、設計風格及規範檢查等各個面向,思源科技對這些程式提供評級,使用者可快速了解哪些程式最常被使用。網站並提供了使用者論壇,工程師們可在論壇上互相交流並獲得及時的互動。$ }" t& ?. q0 Z9 c
% l# }4 Z6 g# }( [3 ~3 C
VIA交流平台已在Verdi使用者社群中廣泛獲得好評(請參考 "VIA Exchange Launches with Industry Support")。在今年八月上旬開始一系列Springsoft Community Conference (SCC) 技術研討會中,VIA交流平台首次被介紹給使用者們,並成為研討會中熱門的討論議題,而在接下來即將在美國加州爾灣、德州奧斯丁、以及加州矽谷舉辦的研討會中,VIA交流平台也將被持續地介紹給使用者。思源科技期望VIA交流平台的參與者能夠持續成長,並鼓勵參與者至http://www.springsoft.com/techno ... echnical-papers/via 下載思源最新的技術白皮書,以認識此平台的技術背景與實現方法,以及其所能帶來的各種優勢。
作者: mister_liu    時間: 2011-10-17 04:09 PM
ADVANTEST採用思源VERDI偵錯系統為其設計標準流程Advantest配置Verdi於其先進ESL設計流程的RTL驗證部份; c& G9 y5 @8 e$ y; a6 B  K) i8 n4 j8 h

$ y- f; G6 @. t  i) P# s; r2011年10月17日台灣新竹-- 專業EDA軟體的全球供應商思源科技今日宣佈,已與全球半導體測試設備的領導廠商Advantest Corporation 簽訂多年期合約,擴大使用思源的Verdi自動化偵錯系統。Advantest 將在其增強型電子系統層級(ESL)的設計流程中使用Verdi以驗證經行為合成軟體合成的暫存器交換層次(RTL)設計。
7 i8 {( I; I9 w! N! PAdvantest設計工程部的經理Shinya Sato表示:┌ 我們早在數年前就已使用Verdi作為用於本公司自動化測試機台(ATE)的各世代特殊應用晶片(ASICs)之RTL模擬以及設計分析的標準偵錯平台。  對於這些特殊應用晶片而言,我們必須同時兼顧品質與效能的超高標準。Verdi所提供的獨特先進自動偵錯技術能自動的追蹤到錯誤發生的源頭,而這正替我們省下了寶貴的工程時間與資源。┘
0 y* c6 D% |4 W, O8 m+ b0 k. o4 x- R6 p1 u
Sato 先生並提到:┌ Verdi 幫助我們的工程師達到了上市時間和品質目標的要求。我們利用Verdi建立了從C到RTL 的先進ESL合成方法,以達成更高的工程產值。我們相信Verdi能在我們建立的新流程中,對驗證合成後的RTL碼提供特別的幫助。┘$ e( |: L& g& m- o; M
2 [5 i5 d3 [$ b2 l9 a
思源科技產品行銷部處長李新基表示:┌ Advantest對於提供優秀的技術解決方案已有悠久的歷史,也已被整合進世界上一些最先進的半導體產線中。我們很高興能見到其ASIC團隊運用我們的自動偵錯軟體,在快速成長的自動測試設備(ATE)市場中保持優勢。┘
$ _2 q& W8 P5 Y! u
2 s' T+ {: P- b+ b. NVerdi自動偵錯系統是思源科技的旗艦級先進偵錯產品,它能使工程師們理解複雜IC或系統晶片(SoC)之工作原理的過程自動化,並節省一半以上的偵除錯時間。尤其是在面對不熟悉的他人遺留程式碼(Legacy Code)或第三方智慧財產組塊(third-party IP)時效果更加卓越。這項全功能的系統使用獨特的分析引擎,能在時間軸上自動的追蹤設計行為,並提供各種不同的形象化視野讓使用者能觀察設計的不同面向,並分析設計中的因果關係。工程師更能使用其專利技術展現設計中的機能運作,以及程式碼、斷言(assertion)、和系統測試板之間的互動關係。
作者: amatom    時間: 2011-11-15 04:57 PM
標題: 日商CM ENGINEERING採用思源VERDI偵錯系統為其設計標準流程
2011年11月15日日本橫濱 —全球IC設計的EDA供應商思源科技今日宣布,已獲得CM Engineering Co. Ltd. (CM-E) 採用Verdi全自動偵錯系統作為其標準偵錯平台。藉由採用Verdi,CM Engineering 期望能大幅改善驗證效率,針對第三方驗證服務中日益增加的驗證複雜度所產生的需求,提供即時而有效率地回應。, q6 k& c; [& o4 H" R0 X/ C

4 b* e4 P" x5 M' I( I. A" H( d0 `, ?CM-E採用了先進的晶片設計與驗證流程以及高階的EDA技術,以讓工程師們能有效率地達成客戶的需求,並持續加強驗證環境。思源科技的Verdi偵錯軟體已被整合入此高階驗證流程中,Verdi在此流程中提供了業界最佳的自動化偵錯能力,並使流程中各種不同的軟體套件得以在單一平台及介面中順利地互相溝通,大幅增加了CM-E工程師們的產能,
; w/ A0 ^% e' P) n3 h+ k, n/ \CM Engineering總裁Mr. Atsushi表示::「為了達成大型系統晶片驗證日趨複雜而產生的種種需求,我們的設計驗證環境需要最好的效能,因此我們採用業界的標準方法來將所有工具整合至我們的環境中。藉由在偵錯的部分採用Verdi系統,我們期望能達成更高的驗證效能,並減少在不同工具間的複雜介面需求。」
* O% q" d2 N% V) N; Z
* O) W. }* ~# U5 J思源科技日本區總經理Tomoyuki Kawarai表示:「在驗證複雜度不斷成長的環境下,要同時增加使用者的產能,是相當重大的挑戰。我們非常感謝CM-E engineers對Verdi系統的信心,也期待能透過我們的Certitude功能驗證品管系統和Siloti能見度增強系統,幫助他們進一步延伸Verdi的偵錯能力,以在他們最高階的應用中提供以斷言為基礎(assertion-based)的驗證和功率感知設計(power-aware design)的解決方案。」
作者: amatom    時間: 2011-11-15 04:57 PM
Verdi自動偵錯系統是思源科技針對高階偵錯推出的旗艦產品。它能透過將理解複雜IC和系統晶片(SoC)工作原理的過程自動化,以減少一半以上的偵錯時間,尤其是在處理不熟悉的設計元件或第三方智慧財產組塊時更能發揮效果。此一全功能的系統透過其獨創的分析引擎,能將跨時區的功能追蹤自動化、提供各種強大的設計視野以將設計具像畫、幫助分析設計中的因果關係,並使用其專利技術將設計、斷言(assertion)、以及系統測試板(system testbench)間的相互關係及功能運轉完整的展現出來。9 D& @% c* r* O" M

) ^( Z% ~( R0 K3 r  oEDSFair 2011的參與者們可以在Springsoft K.K. 的攤位上(Exhibit Booth #F-14)見到Verdi偵錯系統的第一手演示。這項展覽將會在十一月十六日至十八日期間於橫濱太平洋展覽中心(Pacifico Yokohama)舉行,會中將展示涵蓋半導體科技和系統設計的業界領導解決方案。
' ~6 }5 j* |8 |3 ^
" j; K& l' q+ ~0 m7 h% F0 _% X' p. j關於CME Engineering3 g* ^8 W9 k7 X# B
CM Engineering針對任何半導體驗證的需求提供解決方案,其中包括了第三方驗證服務,以及針對改進驗證技術的諮詢與教育訓練服務。CM Engineering同時也針對使用其採用了基頻、射頻、智慧財產組塊核心、以及類比設計等技術的無線通訊網路產品的客戶,提供建立混合訊號大型積體電路的全方位解決方案。
作者: tk02561    時間: 2011-11-29 04:12 PM
思源科技導入Laker Blitz產品   以晶片終端整合應用為目標 超高速晶片佈局編輯器, 加速實體設計與製造的銜接處理
8 y6 F5 e+ M# H) _; M, z[attach]14898[/attach]
$ h, n, r9 k# m1 D" \$ d) m" b& x. l* f/ m/ ]+ S  \- ^7 @5 G6 \
2011年11月29日台灣新竹 —全球IC設計的EDA供應商思源科技今日宣布Laker Blitz晶片層級佈局編輯器已全球供貨。 Laker Blitz是Laker客製化自動設計和佈局方案的最新成員,主要使用於積體電路晶片最後佈局整修的應用,提供高速檢視和編輯能力,有效提升佈局到製造的晶片下線(tapeout)運作效率,適用於大量資料需求的設計, 如消費性電子產品中廣泛使用的先進製程系統單晶片 (System-on-chip, SOC)和記憶體晶片設計。
0 w- D4 U: |& ^. i5 |- f
* b. V4 i1 {) P, H# ]晶片完工修整一直是時程壓力極大的工作,它是實體設計(Physical Design)進入製造前的最後一個步驟。除了需要合併大量的佈局檔案(GDSII),還要再執行設計規則檢驗(DRC)與完成最後的修正工作。而目前使用者多數利用既有佈局工具或佈局檢視工具( mask viewer)的功能來完成此項工作。 然而這些工具並非專為晶片完工修整應用而設計,常因性能不佳或僅提供極少的編修功能而導致工作效率低下。
作者: tk02561    時間: 2011-11-29 04:12 PM
相較之下,Laker Blitz為晶片完工修整需求而量身打造, 解決使用者所期面臨的困擾,提升了速度和生產力。相較於傳統的佈局工具,能以5到20倍的高速輸入或輸出GDSII資料檔。與佈局檢視工具比較,它不僅提供健全的編輯功能,並支援工具指令語言TCL (Tool Command Language),便於流程自動化之整合應用。. G- @5 i% W9 E- [
! K% G; z5 E! V+ _2 i: ~" k
思源科技客製化IC設計方案行銷部資深處長Dave Reed 表示:「對於晶片完工修整的工作而言,目前的工具和所需的功能存在著不小的差距。傳統佈局編輯器速度太慢,佈局檢視工具不是沒有編輯能力或是太少而難以使用,設計規則檢驗工具則未被適當的整合。Laker Blitz 兼俱速度、容量、與效率,可以顯著地減化高密度晶片下線(tape-out)的工時和達成高品質的要求。」2 e  j# ~  g2 l3 N: ^5 K; `6 t0 b0 C
/ `' H0 @0 u* _  A' v
光速般的佈局
* G: t0 B( d  T3 H( u$ Y8 s
  H- G, I; N! l時下奈米級晶片設計的檔案容量通常很大,Laker Blitz以創新技術提供快速輸入、讀寫、編輯 、和輸出超大容量的GDSII檔案。在Laker Blitz的環境中,使用者能夠輕易地載入、檢視和操控全層級(Full-Hierarchy) 的晶片佈局內容,也可執行子集(cell)、 指定範圍、或是全晶片的設計規則檢驗與錯誤修正。並且可使用進階功能來更進一步簡化編輯和除錯的工作,例如 Highlight-Net 等功能來追蹤重要的接線。, R7 B( w3 B7 w! j. ^
3 ?3 z2 U7 X  n, i
思源科技Laker佈局環境提供可控制的自動化和無可比擬的軟體內部溝通能力,對於類比、混合訊號、和客製數位設計,使用者能以較少的工作量來達成優質的佈局結果。如今已有超過300家公司(包括很多家半導體領導公司)採用Laker佈局系統於20奈米的設計中。 由於Laker Blitz是建構在已經被廣泛採用的Laker客製化自動佈局系統的技術上,Laker的客戶可以受惠於相同的使用介面、功能、及既有的工具指令語言(TCL)、和整合的sign-off設計使用規則檢驗工具,Laker Blitz將是晶片完工修整的最佳選擇。
作者: amatom    時間: 2011-12-6 09:58 AM
標題: 思源科技任命軟體業界菁英 MILLIGAN為新任的公司行銷副總裁
[attach]14947[/attach]$ P7 N) C4 B, B

+ j, p" u# [( ]0 G% l4 C, t2011年12月5日台灣新竹 —思源科技(SpringSoft, Inc.)今天宣布,任命EDA與嵌入式軟體業界菁英Mark Milligan為公司行銷副總。Milligan將在思源美國矽谷的北美總部領導全球行銷團隊,擴展全球”思源科技品牌",強化客戶與生態系統的交流,並鞏固其電子設計自動化(EDA工具)與服務頂尖供應商的地位。
, J7 F  B* s% [9 K
& v+ D+ F8 p: @8 m( `8 ^Milligan擁有超過20年的實務經驗,在加入思源科技之前歷任EDA與半導體公司行銷與業務開發的各種主管職務,擁有非常豐富的系統層設計與驗證領域的經驗。此外,他也積極參與重要的業界組織與聯盟並榮膺領導工作,幫助建構晶片設計生態系統,包括在Open SystemC Initiative (OSCI)組織將語言標準過渡到IEEE期間擔任OSCI總裁職務。
作者: amatom    時間: 2011-12-6 09:58 AM
思源科技營運長兼執行副總鄧強生表示:「Mark為思源科技帶來新的建言與活力,在擴大公司產品線並將技術專業聚焦於全新領域的當下,有助於公司在全球永續成長的定位。我們期盼藉由他的領導、行銷策略方面的創意思維,以及在EDA與半導體的實務能力,來強化我們對於全業界協同合作的承諾。」
& Z% {: j4 p" b3 [$ T
6 F5 W4 Z- o/ J! }) f2 |" e, f7 z9 rMilligan指出:「思源科技是台灣的高獲利公開上市EDA公司,長久以來戮力協助客戶克服嚴苛的設計挑戰,並在技術領域大肆投資。我很高興能夠在這個關鍵時刻加入公司,為將這些創新技術發展貢獻到市場上的理想而盡一己之力。」
1 K! H( g( o" |# _- k
0 X, E8 Q6 E+ LMilligan在 EDA行銷與業務開發領域擁有豐富的資歷。最近一個職務是擔任Xilinx的執行顧問,協助運用FPGA領導廠牌Zynq™ 高擴充性處理平台建構客戶軟體與電子系統層(ESL)開發流程的策略。在Xilinx之前,擔任行動與嵌入式裝置虛擬化軟體供應商VirtualLogix (後來被Red Bend Software併購)行銷副總裁之職。) A# f' ~/ M! g, V- Y

; t5 s) `0 p; O  ?. r, x4 L! ^: ?0 [更早以前,Milligan曾任ESL方法與工具先驅的領導廠商CoWare之行銷副總裁以及Synopsys高階驗證事業部行銷副總裁。在Synopsys期間,他協助這家以邏輯合成工具起家的公司以Verilog Compiler Simulator (VCS)邁入驗證市場同時推出該公司第一代雲端運算服務。他也曾擔任Viewlogic的Sunrise Test Systems事業部總經理,建立了成為業界標準的結構化測試設計(design-for-test,DFT)方法。Milligan擁有科羅拉多大學博爾德(Boulder)分校的工程物理學士學位(B.S. in Engineering Physics)。
作者: amatom    時間: 2012-1-10 04:36 PM
標題: 華虹NEC採用思源科技Laker與Verdi系統 加速建立PDK及晶片驗證環境
2012年1月10日台灣新竹—全球電子設計自動化軟體供應商思源科技,與世界級專業晶圓製造服務領導廠商華虹NEC(Hua Hong NEC/HHNEC),今日共同宣佈HHNEC已採用思源Laker客製化IC設計解決方案,運用於建立製程設計工具(PDK)流程中,同時也在其晶圓廠的驗證參考流程中整合了屢獲獎項的Verdi自動偵錯系統。+ w2 n$ a" {6 G9 a
- u2 d( R2 B  v4 J; y; n' m
藉由思源提供的設計工具,華虹NEC已釋出第一版的Laker PDK,使其0.13微米的內嵌式快閃記憶體技術的客製晶片流程更有效率,並在偵錯與功能驗證流程中增加百分之五十以上的產能。華虹NEC所提供的0.13微米之內嵌式快閃記憶體是最受歡迎的嵌入式非揮發性記憶體平台之一,此平台可使用於微處理器、通訊設備、消費性產品、行動支付、資訊安全、以及智慧卡等等的應用上。
! [0 B. E) {$ e& u0 K# E
' d" ~( |. y9 ^7 e華虹NEC設計服務部總監王楠表示:「身為提供全球客戶加值型晶圓製造服務的供應廠商,能否提供客戶包含設計套件和參考流程的世界級製程平台,最大化其產能和效率,是我們的關鍵使命。思源的Verdi及Laker系統在驗證和客製化的領域中是讓人信服的解決方案,因此我們相信藉由採用這些產品將同時嘉惠華虹NEC的內部團隊和我們的客戶。」
, E/ ]4 x& M9 ]; [4 [+ l. k; ]5 C4 B, O' ?  t
思源科技企業行銷部副總Mark Milligan表示:「Verdi和Laker在目前晶片設計的生態系統中扮演重要的角色,因為它們能幫助使用者在更短的時間內進行更多的功能驗證,並且花費更少的代價得到更高品質的設計。華虹NEC是中國最早的晶圓廠之一,同時也是此快速成長區域中的Laker PDK供應者,華虹NEC採用思源的產品證明了Laker在亞洲市場的領導地位與其所提供的價值。」
作者: amatom    時間: 2012-1-10 04:37 PM
更快速地建立PDK# k9 m3 v7 h. H! J, I0 Y* ^6 n' @

1 N) n) B  q1 y' b* }思源Laker解決方案提供華虹NEC高度自動化的環境以建立PDK,其中包括了Laker先進設計平台(ADP)、直覺而全功能的電路編輯器、使用專利Magic Cell (MCell™)自動產生元件的Laker客製化自動佈局系統、以及完整的電路導向佈局(SDL)流程,以加速實體晶片的實現。
0 t: t) v  C! }
$ H- I9 m% ]3 q* L$ l4 g& h$ n* y% d華虹NEC的Laker PDK包含了針對晶圓廠的元件資料庫、參數化的MCell、驗證規則、以及其0.13微米eflash製程的技術檔案(Technology File),這些Laker PDK具有完美的穩定性、高度的耐用性、低耗電量、耐輻射性、以及和標準CMOS技術的相容性。當和Laker佈局工具一起使用時,這些PDK能將實體布局設計自動化增加產能並縮短開發的時間。現在華虹NEC的客戶已經可使用這些Laker 0.13微米eflash的PDK。2 \( K  k" j4 U4 g

' [% _( l6 `& w3 i+ I/ a* `1 q更佳的驗證產能
/ F( n/ b) J+ E9 U. x華虹NEC的參考驗證流程使用業界最佳的工具和方法,幫助工程師和客戶驗證其原始設計的功能,與經過製程後的技術結果前後一致。這個流程的產能也在整合了思源高階偵錯的旗艦產品Verdi偵錯系統後得到顯著的提升。此系統能將理解複雜設計運作內容的過程,透過其獨特的行為分析引擎、強力的形象化視窗、以及專利的信號追蹤技術而將其自動化,進而節省一半以上的偵錯時間。
作者: amatom    時間: 2012-1-10 04:37 PM
關於華虹NEC
( z9 I) e( v) O( b# a% E% K$ I上海華虹NEC電子有限公司建立於1997年七月,是中國大陸首間八吋半導體製造商,目前已是全球專業晶圓廠的領導廠商之一,提供全球客戶加值型的晶圓製造服務。華虹NEC在中國大陸擁有兩條八吋晶圓生產線,最大產能皆可每月生產九萬片晶圓。華虹NEC的總部位於中國上海,其業務和技術支援已擴展至台灣、日本、北美和歐洲等地。
9 C+ A( {1 Z# e4 g- d  N. W: h3 Z9 f  A  x% x% d1 X
華虹NEC擁有1.0~0.13微米的完整製程基礎,其作為號召的製程平台包含了內嵌式NVM、類比及電源管理、HVCMOS及顯示晶片、射頻(RF)及邏輯閘、混合訊號等等的分離元件。這些產品可廣泛應用在諸如通訊、電腦、行動電話、家庭裝置、智慧卡、汽車、SMPS、電源管理、遠距照護、綠能(風力及太陽能)、動力傳動裝置、鐵路運輸、智慧電網、廣播、LED顯示以及新照明設備(包括LED光源應用和節能光源)等等應用上。) K9 \+ m' G& ^1 h: r
3 D9 j6 ^4 _, ?! C! k6 s5 ?$ e
華虹NEC提供全天候的一流服務,包括了設計支援、元件資料庫及IP、光罩佈局、晶圓製程、晶圓分類、可靠度測試及錯誤分析等等。藉由與事業夥伴們的合作,華虹NEC也提供了包括光罩製作、封裝及最終測試等的一站式服務。至目前為止,華虹NEC已獲得多項國際認證和獎項,例如ISO9001的品質系統認證、ISO14001的環境保護認證、ISO27001的資訊安全認證、OHSAS18001的職業安全健康認證、美國商業部工業暨安全局的VEU認證、以及TS16949品質管理的系統符合性聲明。根據這些認證,證明了華虹NEC能提供給客戶高品質的產品及資訊安全。
作者: innoing123    時間: 2012-2-29 12:02 PM
標題: 思源與新思科技運用偵錯技術加速系統晶片的通訊協定驗證
2012年2月29日台灣新竹—全球EDA領導廠商思源科技與新思科技(Synopsys Inc.),今日共同宣布他們建立思源Verdi自動偵錯系統與新思的通訊協定分析器(Protocol Analyzer)之間的緊密連結。作為Synopsys Discovery VIP家族的一部分,新思的通訊協定分析器能讓工程師快速了解、鑑別設計中的通訊協定並進行除錯。透過這個連結,鑑別出的通訊協定違例和錯誤能夠無縫地傳送至Verdi的偵錯環境中,以進行訊號層的詳細分析,並快速地找出造成違例及錯誤的源頭。
8 W4 e! ]2 k) B1 |9 o( k# f, R$ p8 C0 e2 p0 c. o' u- r
先進的系統晶片中包含了各種複雜的通訊協定,因此為了讓工程師們能在緊湊的專案時程中達成期望中的驗證覆蓋率,驗證智慧財產組塊(VIP)已成為驗證環境中非常重要的元件。而隨著通訊協定複雜度的增加,通訊協定的偵錯是目前系統晶片功能驗證中最困難且耗時的挑戰之ㄧ。這項合作是透過思源VIA(Verdi Interoperability Apps)交流平台實現的,結合彼此的先進技術,共同直接解決這些問題。
8 j& _+ q. L6 y( l! Z. U. I' Y; D4 e, F: D; g4 n" z
思源科技企業行銷副總Mark Milligan表示:「通訊協定的偵錯對系統晶片的設計團隊來說是一項重大的挑戰,我們和新思科技擁有長久的夥伴關係,並透過共同開發的溝通工具與流程,替我們共同的客戶解決驗證上的問題。VIA交流平台能夠整合業界的標準偵錯平台Verdi和新思的Discovery VIP,提供使用者加快通訊協定偵錯的完整解決方案。」
作者: innoing123    時間: 2012-2-29 12:02 PM
新思科技驗證部門專家Janick Bergeron提到:「因為通訊協定複雜度的增加,如何有效率的對通訊協定進行偵錯以成為業界關心的重點。如何解決通訊協定偵錯中的挑戰一直是我們在建立新世代VIP時專注的關鍵。隨著這次的合作,我們已將通訊協定偵錯技術整合進思源的設計偵錯技術中,進一步的強化系統晶片的驗證效率。」" ?: \- W. O" z; ]9 X/ x+ ~6 R8 l+ F' }

4 D% X# |% X3 ^& z) p驗證工程師們使用VIP測試包含了ARM AMBA AXI4, USB 3.0, PCI Express等等各種系統晶片的介面通訊協定。新思科技Discovery VIP家族中的通訊協定分析器提供以通訊協定為中心的偵錯方法,此獨特方法能讓工程師們能夠快速了解通訊協定的活動內容;鑑別出瓶頸所在;並針對不符合預期的行為,盡量去除不需要的詳細內容,以便能專注在諸如AXI transactions、 USB transfers、  PCI Express packets等等的高階通訊協定活動上進行偵錯。而思源科技Verdi自動偵錯系統,能夠在針對複雜的數位IC元件、設計區塊、或整個系統晶片進行驗證時,加快諸如尋找、分析、改正錯誤源頭等等程序的速度。0 E8 o0 k0 |" Y
/ j, [# x6 E# I5 x( \, W
此一整合了通訊協定分析器與Verdi的流程能讓使用者無縫且同步地接觸通訊協定中的交易、移轉、封包、訊號層分析等等訊息。這讓驗證工程師們可以專注工作於最重要的領域,以決定造成通訊協定違例的源頭,進而加速整體系統晶片的偵錯流程。
作者: amatom    時間: 2012-3-28 05:07 PM
標題: 意法半導體 (ST) 採用思源VIA平台建立客製化的驗證應用
2012年3月28日台灣新竹 -- 全球EDA領導廠商思源科技今天宣布,意法半導體 (ST) 已採用思源新推出的Verdi協作應用平台(Verdi Interoperability Apps, VIA),並成功建立使用於Verdi自動偵錯系統中的客製化驗證應用程式,以在ST的晶片設計流程中大幅提高產能。
$ C& q) b7 a& k. q# {" ~6 E& ], ^0 T+ s6 z$ M* |# B8 d
思源科技屢獲獎項的Verdi是一套高度自動化的偵錯系統,它能加速理解複雜IP元件、設計區塊、以及整個系統晶片(SOC) 中行為的過程。而VIA平台則提供了軟體環境與Verdi資料庫間的連結,讓使用者能夠根據不同的需求快速地創作並整合客製應用程式。ST的工程師們已建立了許多VIA程式,藉以將邏輯模擬的違例檢查過程自動化,並分析其報告以找出影響可靠度及良率的關鍵因素。7 e# J, d% Q# B8 V( m+ p3 Y

: d- K6 g* }; V$ F8 _% t' {工程師們已經習慣使用Verdi中的各種視窗對設計進行偵錯。而透過VIA平台,工程師們能利用Verdi的強大功能自動讀取晶片測試報告,並在實體佈局圖中顯現出來,以進行更精確的錯誤分析,找出潛在的問題。; m; u5 w* R' f" ?) Z8 V) c

) j  g! q' f! K" h, w藉由VIA平台,ST的驗證工程師建立了一支TCL程式,能大幅減少用人工尋找違例邏輯、定位並顯示於Verdi偵錯系統、將相對應的訊號加入波型顯示器、並追蹤至正確的時間和時脈等等動作上耗費的大量時間。工程師只需簡單地將違例報告讀進Verdi系統, Verdi系統便能自動處理所有的動作,以節省大量的時間。
# \& j8 v# f: l0 P; S2 K
6 j: z9 \: L$ e, T: w5 l* M思源科技企業行銷副總Mark Milligan表示:「身為資深的Verdi使用者,ST幫助我們確定了正確的產品策略,也支持我們在開放式解決方案和建立軟體互相操作性的技術上所做出的承諾。」
作者: liu.leon    時間: 2012-5-8 02:34 PM
目前laker 比cadence還要貴
" z5 M* X5 i0 a  n+ F# Y但貴有貴的好處! C2 V* G+ E+ g. O0 k) T+ ]8 p
L3功能 在原相公司應該是用CDL  IN 方式layout,所以應著重於floorplan 和拉線方便而已) q1 ]4 s- g3 G' u; \8 M

, ]/ \; G6 }* R1 F+ ?2 i* L. B如果要發揮L3極大功能~~需要加入UDD和toolBox 這樣畫起layout 就很方便
作者: globe0968    時間: 2012-6-6 07:12 PM
標題: 思源科技研發副總李炯霆獲選加入Si2董事會
2012年6月6日台灣新竹 — 專業IC設計軟體全球供應商思源科技(SpringSoft, Inc.)今天宣布,該公司實體設計事業群副總經理李炯霆獲選加入晶片整合倡導組織(Silicon Integration Initiative,Si2)的董事會(2012-2013)。Si2是業界頂尖半導體、系統、EDA與製造公司的最大組織,致力於開發和推廣標準以改善積體電路設計和製造的方式,以便加速上市前置時間、降低成本,進而克服次微米設計的挑戰(www.si2.org)。
( }! B$ m* Y6 R/ K
7 L$ P, S* B0 j. B李炯霆將為Si2董事會貢獻超過25年的EDA與半導體業界經驗。在擔任思源科技研發副總之前,曾經是Nanovata Design Automation共同創辦人兼執行長,並歷任Nassda、Avant!、PiE Design Systems, Inc. (後來與Quickturn Design Systems, Inc.合併)、AMD與AT&T貝爾實驗室等的各種經營管理與技術開發職務。李博士擁有台灣大學理學士學位,以及加州大學柏克萊分校電子工程博士學位。/ o+ W( O$ F" Z7 H/ F1 w3 X
$ w6 b3 W) H) a! `: @8 m
Si2總裁兼執行長Steve Schulz表示:「思源科技建立了自己在提供開放、可相互操作解決方案的領袖地位,對OpenAccess的長期貢獻就是鐵證。我們歡迎思源科技與李博士加入董事會,並期望他們能夠發揚自己的全球業界思維與協同作業方法,這兩者都是確保Si2任務永續成功的關鍵。」
+ U) K: P4 D2 h* l$ N. g0 H" C$ D3 H  z( B
思源科技李炯霆表示:「Si2的工作對於發展晶片開發方法非常重要,也是業界未來成功的關鍵,本人很高興能夠擁有這個殊榮加入Si2董事會。我期望能夠幫助Si2帶動開放標準灌注到實務解決方案中,以實現更高的相互操作性、更快速的創新、和新一代IC與SoC設計。」
作者: mister_liu    時間: 2012-6-13 09:33 AM
思源股東會通過股利分配現金股利1.8元 6 Y4 M6 o, c! w. s/ \8 d

  s6 }4 [+ `9 {* h# d7 p(新竹科學園區訊)思源科技一百零一年股東常會,今天上午在新竹市科學園區工業東二路一號 (科技生活館愛迪生廳)舉行,會中通過一百年營業報告書、一百年財務報表及股利分配案。+ _; e4 F4 X( u2 c( w
  B  z/ Y2 K) V7 V5 t
思源科技今日股東常會核准民國一百年度營業報告書及財務報表,其中全年合併營收淨額約為新台幣2,276.3百萬元,稅後純益約新台幣568.9百萬元,每股稅後盈餘為新台幣2.78元。會中並核准通過每普通股配發現金股息1.8元。
作者: innoing123    時間: 2012-10-31 04:23 PM
標題: 法商Kalray採用思源科技解決方案應用於高效能處理器的驗證及偵錯工作
2012年10月31日台灣新竹--專業晶片設計軟體的全球供應商思源科技今日宣布,法商Kalray 採用思源屢獲獎項的功能驗證解決方案,並整合入其尖端晶片的設計流程中。Kalray共採用了Verdi自動化偵錯系統、Siloti能見度增強系統、和Certitude 功能驗證品管系統,致力於提高偵錯的效能並確保驗證環境的最高品質。
8 W! P" C* d( Z% o! m+ |6 Z# S
& p+ D1 ^2 ^3 a4 D0 i思源科技的產品協助工程師加速偵錯時間與加強驗證環境的品質,以確保晶片設計符合規格並可順利達到可簽核(Sign-off)程度。Verdi和Siloti系統作為一個統一的平台,使Kalray工程師共享關鍵設計知識和分析數據,明顯提高了偵錯流程的生產力。Certitude系統提供了客觀指標在有效的檢查與測試機制上,供Kalray工程師使用來驗證其MPPA多核心處理器(MPPA MANYCORE)設計的關鍵IP模組和連線的功能。+ I" m7 ]  k+ ~3 m" S
2 B6 ?+ P3 V/ r; N  B5 v
Kalray 硬體工程部處長Renaud Ayrignac表示:「我們對思源科技的驗證技術所表現出的強大自動化與開放功能感到印象深刻。這些技術節省我們的時間,並提供了與其他軟體間的高度互相操作性,使工程師能夠順利處理我們複雜的先進256多用途處理器(MPPA® 256)。」
; O5 p# j2 @! m7 q7 ?3 _4 |- I% E: j0 ?9 T4 `: c# E
Kalray 工能驗證主管ehan-Philippe Barbiero補充:「我們使用Certitude系統來測量與改進驗證環境的品質,並且將檢查工作專注在我們先進的MPPA處理器的眾多關鍵模組塊中,從而顯著地減少錯過RTL錯誤的風險,避免導致昂貴的重新建置過程。」7 N& D" X3 }9 q" U# ~5 m8 S( u6 r

5 a9 ~; p3 o1 e, G& n% z" w! A思源科技企業行銷部副總Mark Milligan表示:「透過在開放功能驗證流程中同時使用Verdi、Siloti、以及Certitude等系統,Kalra工程師們可加速對設計內容的理解過程,減少偵錯所需的時間,並有效移除驗證中的不確定性。這些便是Kalray在以效能為導向的嵌入式系統市場中,處理設計複雜度和上市時間壓力時所感受到的優勢。」
作者: engineer    時間: 2016-4-23 08:48 AM
An1 heard of Laker OA?  What does OA (Open Access) mean?




歡迎光臨 Chip123 科技應用創新平台 (http://free.vireal.world/chip123_website/innoingbbs/) Powered by Discuz! X3.2