Chip123 科技應用創新平台

標題: 請問如何設計BCD counter [打印本頁]

作者: monkeybad    時間: 2007-8-28 10:40 AM
標題: 請問如何設計BCD counter
如題- _0 ^' T5 N! L$ c# f
小弟我目前在設計一個時鐘電路 需要一個記數器 不知道這個問題是不是屬於這個討論區
2 q) y2 O3 Y) b$ ^& K) G如果不是麻煩版主修改一下囉, D; N$ {+ q! N) a. s

* |  {5 d3 Z/ n7 I, @: }8 M# k目前這個記數器要能數0-9 接著就進位 然後自己reset繼續從0開始 進位後訊號再繼續由一個0-5的記數器開始記數
$ E0 T; R3 }7 m總之就是能夠記數0-59, 個位數記數0-9, 十位數記數0-5
& Y" @) e; `, e" n$ ]7 n6 e( P# n& @  t) y: W* z( u/ ]" F  z7 J
請問各位有人有設計過這樣的電路嗎? 或是要去哪裡找相關的資料勒?' ?+ I  i) a& T) v
謝謝!
作者: 小朱仔    時間: 2007-8-28 10:52 AM
原帖由 monkeybad 於 2007-8-28 10:40 AM 發表 , z) h& w- i9 O, v3 f' y1 d
如題5 ?! h/ a+ Z1 z* F3 s
小弟我目前在設計一個時鐘電路 需要一個記數器 不知道這個問題是不是屬於這個討論區
; A8 ?; B' O0 j# x. F如果不是麻煩版主修改一下囉. f+ \/ V! ^2 l4 O0 ^
: a- k/ @3 ]" A; p6 {0 d$ z
目前這個記數器要能數0-9 接著就進位 然後自己reset繼續從0開始 進位後訊號再繼續由一 ...
% e# Z+ Z  ~. j& i9 J5 ]" g6 b
1 j3 X, }4 q1 {* _
大大您是要寫Verilog還是電路, i- F8 A5 g: j# ]2 H, B0 W

" D4 }/ ]1 C/ w7 ?5 u! J先PO個RTL Code參考看看7 Y7 |1 }1 C3 R! E: e( j2 o7 h
4 w2 n" L  Z& c9 r3 s& M
輸入:N clear load clk
! y' }: i6 z. G" \; W輸出:count" {! Y' V, t3 s. [0 U

  {1 a' Y0 v6 S7 w行為描述(依照優先順序)' B( a3 I; Q  \' Y
1.如果clear為1,則輸出設為為0
3 x) s7 ]& V8 f" s8 y- }5 C2.如果load為1,則輸出設為N% G8 M/ f( H0 X% x$ Z* [  B
3.每個時脈輸出遞增一次(BCD); [2 Q; B. n+ ?
/ l! ?* H. ?" H* v

$ s7 i( p# {& l
, n' Q8 p1 m/ _* P! M& _2 g+ O程式碼:
1 ?# L9 Y9 g1 o) b# e- k) OBCDcounter.v1 M+ x) Y9 _, Q& z  A: r
' a$ D8 K9 G5 K7 C. G, f
module BCDcounter(clear,load,clk,N,count);8 X8 Y5 F+ Z6 y, `3 [4 f
input  clear,load,clk;
& R, e, V; {" l. kinput  [3:0] N;
! F; @# N  A% x: ^# uoutput [3:0] count;
# t# r& ?7 i  Q9 l' v1 a5 t- k4 Nreg    [3:0] count=0;
2 r2 k4 I8 M2 A# Y* L5 f# C. t7 A( |! O2 }  e7 I9 u
always @ (posedge clk) begin
% a' w6 a) d3 m  J  @9 B2 ~' _- r  if(clear)                 //clear=1. J/ w! b2 R1 t1 e& T0 G8 ?; Y
    count=0;& q/ E# t4 {1 s7 R6 j+ ^  y
  else if(!clear) begin     //clear=0(共有0 1 X Z四種狀態)
* I$ r, p6 o! e: C9 ^/ Q7 e" U- Q8 ?    if(load) begin
0 s" D% i/ T1 r( V# U) o      if(N>9)
" I- x' B$ [7 V0 Q        count=0;7 o% w& r5 U  c: `& u
      else
9 D# @2 C7 [: R# c' J, U% b  j        count=N;! W% q1 c7 Y( `; @; O6 \2 a) Z
    end
8 w: X; ^/ u1 o0 R- H/ R    else if(!load) begin" c7 |- s4 q- {4 k
      count = count+1;: `6 Z7 Q( h/ F' w& g5 C# S
      if(count>9)2 i% ^' \% k9 r9 |& O
      count=0;& F1 N$ j, B, O/ @' [5 }/ |& a$ h3 x
    end
, Y3 `! |  J! P3 N$ b) V  end* H3 c8 `5 X0 o: c! ?
end
2 m: i  T6 M/ L( pendmodule
) ~5 D6 ^6 L& y/ N
! L8 g0 o* L! F8 P  L& P! G- ebcdcounter_tb.v% Z1 D: w2 p# B" Z2 W7 A
, N8 l/ ?3 C. C/ d, _, w/ X7 m
module bcdcounter_tb;- i4 Q  V% d1 @5 K
reg clear,load,clk;
# {5 B( @6 b; X. u( n/ ereg [3:0] N;
+ E8 K' [6 }# Swire [3:0] count;3 a( R" v2 {' M- ?
/ T, G( p  ~% z8 L
BCDcounter m1(clear,load,clk,N,count);' t7 f6 F, I& ^+ u8 [
5 ~5 \2 F4 V; C6 ~4 I/ V3 F
initial( `% x9 \4 t) Q
clk=0;
! {( b8 \  `6 L$ A
% B( ~& R; M7 T( d) ]7 }( Aalways #2 clk=~clk;, V: r8 u: l  B# m

& E. t2 r2 i0 _0 ~9 N, H$ \initial. M3 G4 W0 N- a# t" k
#160 $finish;& ^8 D; |; H3 |. l

) F$ F4 D3 J5 F" c8 Qinitial begin3 p4 R& b% v$ Y
    clear=1;8 Y2 g  M. ^" R2 \; Y
#4  clear=0;
6 Z6 C8 z6 c# ^! w) R0 d#90 clear=1;& k1 Q% ~6 o6 V$ Q& p
#3  clear=0;* R9 r. g: B) [  k
end0 j& `# w4 S6 g7 d0 r( y- E2 l+ |0 P

# E0 n. W( @. {7 u9 y0 j9 c- tinitial begin
! y8 a! O3 T: }9 d     N=6;load=0;) o" t: h! U; U0 x$ \6 Q
#58  load=1;
- U. H( n% ^$ K/ Y& i& i#2   load=0;
: l  k; Z# t4 x' ?. N#22  N=12;
# r9 d' Z1 ?4 g- h- b% R. M$ Z#40  load=1;) E. j3 o' F6 s- c, u* s. a
#2   load=0;4 ~, Z5 ~9 [; x* _
end* O) {3 v8 k" o6 H
  d* s; m  O9 }% T1 A
endmodule
作者: 小朱仔    時間: 2007-8-28 10:56 AM
還有一份講義可以參考看看% v7 A, s+ U1 D# F& S* Q
希望對大大有所幫助% U+ V7 F/ }+ F; V) b. ]% ~
4 F& V; Y# V' F8 K7 F0 R3 z* x

  a! C$ m6 W! }
+ E  ~6 O) z7 Q* S0 ^4 W1 M( l
作者: monkeybad    時間: 2007-8-28 11:18 AM
感謝小朱仔的熱心回覆% @8 D% q/ S5 e0 J0 P
不過我電路要自己畫 還有我不會用Verilog耶
- Z' [; c& G' V* {9 R# s0 x現在主要是要有電路圖 可以用Hspice模擬的那種
. e5 W5 @; c- u! ^另外希望inverter越少越好 因為要求要省電! 還有要自己layout!& B1 k) F8 B- V
& Z- c3 y# D! m; @% A
樓上那份文件好像裡面有寫到 趕快研究一下! 感謝分享!
/ @% D0 g, a; w& I" d4 d
3 ?, n: M5 O) V5 v7 s, F[ 本帖最後由 monkeybad 於 2007-8-28 11:23 AM 編輯 ]
作者: tommywgt    時間: 2007-8-28 04:05 PM
你那個要自己動手畫CMOS電路吧...   j2 z4 v: Z8 @* L' ?$ @* a
寫code習慣了, 真的很不想動手再做這樣的事, 不過推完booling equation後, 直接用Cmos畫出來不就好了???
$ |) C3 N2 l, x! y0 }. R+ O: F: Y沒錯吧
作者: monkeybad    時間: 2007-8-30 02:21 PM
恩恩 不過booling eq好像是在推導一些輸入輸出的條件
; ?# d2 E/ _6 k7 B6 K! |
& e4 @: L6 R5 g3 W" T記數器參考一些資料後 找到一個用ripple counter下去修改的
9 g1 y0 R- g* n) Tripple counter就是用負緣觸發的T型正反器串接在一起
/ x5 B! T8 g6 g接著開始從0數到9 然後數到10的時候0 o  J. {! R2 h- X0 X9 |
就reset重新從0在開始數
作者: tommywgt    時間: 2007-9-3 03:08 PM
ripper counter真不是個好主意, 不過要看應用, 在有些地方用時倒是好東東...
作者: jordanplus    時間: 2007-10-23 11:19 AM
我很好奇某大大說的講義
; n3 s7 f& I% h6 w% i8 F6 o! Y8 U給我看看寫什麼吧
作者: nic740917    時間: 2007-10-23 08:26 PM
我這裡有時鐘的電路圖..以送去CIC下線..可提供給大大參考看看!
作者: mungoo    時間: 2007-10-30 01:52 AM
我看一看那個問題,要我聯想到CODE,一時還想不起來,真是太久沒有寫程式哩!
, q% H7 E6 y* _: l6 N3 w1 S/ w看到CODE,有一點恍然大悟的感覺,又接著看,害我也想看那份相關資料囉!!
作者: liboy1122    時間: 2007-11-9 02:57 PM
BCD conuter 應該是對自己有所幫助
作者: stevenert    時間: 2007-11-14 11:29 PM
bcd counter對初學者的我而言真的好難
5 m7 T3 c0 a$ j8 R. V4 ~謝謝小朱仔提供的code囉
作者: tommywgt    時間: 2007-11-17 04:58 PM
大大別忘了給小朱仔 "感謝"
* E9 X8 N4 t* Z% J
/ n- b4 U8 I/ C) c按評分, 就可以給感謝了....
作者: kevin    時間: 2008-2-22 05:34 PM
Let see the shared material. THX.
作者: josephgg    時間: 2008-4-24 07:28 AM
幕讲义之名而来,呵呵勿见怪啦.                                             
! F/ v% r, R! a% ]感谢
作者: ycs1977    時間: 2008-5-21 10:42 AM
標題: 回復 3# 的帖子
感謝各位大大的資料 對初學者 真是受益良多
作者: mengchung68    時間: 2008-6-2 11:53 AM
感謝大大的分享∼∼∼∼( X8 h8 X' Z; l. R: R6 ^
因為你的無私,讓大家能學到許多知識
作者: gto10620    時間: 2008-6-2 10:10 PM
我也想看看大大分享的講義~~
0 @- A5 x$ b: c0 ~6 b6 s, I2 k8 n2 g1 e回覆來看看囉!!感謝蛤!!
* b3 |% M* t/ y1 O/ N/ S  W因為我是初學而已!!
作者: gto10620    時間: 2008-6-8 08:55 PM
你是要畫電路圖的方式設計~
- G& e7 Z8 z# @) i  T1 U; D8 y那畫畫卡諾圖不就好了.... 只是比寫CODE還要麻煩.... 1 c- P. v7 A6 H
因為要接邏輯閘!! 9 j9 Z6 ?) @/ g: x9 d" Z
用卡諾圖化簡!!
作者: rick80418    時間: 2008-7-25 02:41 PM
最近剛接觸這方面的東西
3 A8 A' Z7 P/ c& ]3 E
. R- f* \! r3 J7 f: v' s剛好需要這些資料: H; ~  \4 L( G6 _' L( A$ \

# d# ?+ m: C$ Z  {# j6 W感謝分享!!!
作者: steall74220    時間: 2008-10-20 03:41 PM
3Q目前亟需這些參考檔案 非常感謝
作者: bigapple62    時間: 2008-10-20 06:37 PM
標題: 回復 3# 的帖子
是Verilog or VHDL?
( c5 D* Y! |  m+ F, {. W我也來看看講義,並學習新知識。
  i/ x2 D. _) `謝謝大大的分享。
作者: oldya811105    時間: 2008-10-20 08:27 PM
講義內容對我這初學者來說因該很有用吧," J# q7 ?' u! g6 w
感謝小朱的分享!!
作者: dy1972    時間: 2008-11-5 12:23 PM
剛開始接觸FPGA,很需要這樣的資料,感謝分享。
作者: ahug    時間: 2008-11-10 11:23 PM
感謝大大的分享∼∼∼∼
& x- z( b# ^% b- ^' k/ p6 u& r( l. g% \8 E0 c* T% G
我是初學者8 l4 f( l7 `' k6 `* \$ L; _5 F2 B
1 w7 e8 a) c3 B4 A
剛好需要這些資料
8 Z# {- j8 [' e9 ^" h
  J$ ~9 f! A- `8 N感謝分享!!!
作者: superpigin    時間: 2008-12-10 08:10 PM
感謝啦!
9 P. R. |" N  C  q) d現在正再跟計數器奮戰中
( q) x  u. W) F( {有這個剛好可以用' I$ _5 e0 [1 L6 V4 L9 _
希望有幫助
作者: pleojack    時間: 2008-12-15 07:49 AM
不知道用cubical representation 會不會有幫助?
作者: shanks    時間: 2009-4-5 10:53 AM
最近也在寫counter9 m% V, D3 A* M: |" B9 \
遇到了一些問題5 v( m) _# m+ e& z6 }0 Q% T8 B4 V1 W
想看一下小朱仔大大提共的資料+ J( j+ u: V0 ]( U! C& y2 n
感謝分享~
作者: spring69393    時間: 2009-4-5 09:08 PM
計數器在fpga裡面算是最常用到的電路之一了,滿好奇是什麼樣的講義的 ^^
作者: abore2009    時間: 2009-6-19 10:05 PM
BCD Counter的確是有意思的電路( ]/ P! P# @+ f- i/ \4 b# H: z( k
用途也蠻多的!" x9 g6 F; {0 B
除頻或是計時電路都很好用!
+ U+ n  y" z" Q1 r  o異步式或同步式皆有人使用!
# m1 `8 _7 g) v' y唯設計的難易差很多!) Z- C3 v4 w; d' e$ s3 l
VHDL或Verilog較能一步到位!
作者: milksoda    時間: 2009-10-20 10:35 AM
標題: 初學者
多看多聽多學多認7 V7 D* N8 u9 U2 s
才能多長見聞呀)))))) + C( r, o8 k2 s: J3 i% p
話說....我用畫電路圖的還被唸= =....
! F, n4 M. z: M$ F& p2 B2 l要學著用程式嚕...
作者: conch_grass    時間: 2009-10-28 10:39 AM
刚注册的,进来学学,希望多多指教:)
, C2 _$ F& v& a# ?4 u/ y7 b7 C" |
) Q/ a, j0 o7 y! M$ w  V; A' e感谢无私的分享!!!
作者: kokonut    時間: 2010-1-24 02:33 PM
我也想看看講義寫了些啥~5 f! r3 O. S$ U# X. L4 r" |

/ I! f/ y; J  d4 g" k感謝分享~
作者: nicnac    時間: 2010-1-31 11:36 PM
B C D 編碼器雖然後容易,但是是進入FPGA的一個小小程式
( ^, O7 O% z8 ^% h9 ]還滿有趣的
作者: bbgangan    時間: 2010-2-7 02:35 PM
回復 1# monkeybad % \9 L4 L5 K) D/ E

5 Z  A+ ?+ V; i  F; B感謝小朱仔提供的code!!
作者: ophen2    時間: 2010-3-18 10:46 AM
我也想看講議....6 b4 ?" w2 a. ?9 P  m" N
準備步入職場的初學者~"~
作者: iceblock316    時間: 2010-7-2 08:18 PM
正在學習fpga感謝大大分享
作者: bbbaabbb    時間: 2010-7-21 12:06 PM
最近在寫控制Memory' Q9 f9 A. C' Y0 f( t# d
也在想怎麼寫個counter來計算已經存取的個數; `9 Z8 E: e+ O. A" U* S; U. m/ M
以便進入下個狀態
& r$ T  x; Q3 T) _8 k6 m2 Z* w謝謝2樓分享
作者: peter7612    時間: 2010-8-18 04:32 PM
感謝大大的分享( [6 t. f% ^9 b" Z5 N' o7 [
剛好要需要用到這份資料
作者: scott5577    時間: 2011-3-7 11:03 PM
剛接觸這方面不久~還是個小新手
# v# [- }6 q8 D3 a9 _4 ]' J還有很多不了解的地方- p4 s( y9 W" `! j: Y  _
這些資料幫助不小~' O: c* O6 a4 V6 Y/ Q) f
感謝分享~
作者: a0972293419    時間: 2012-5-23 06:01 PM
希望講義有用; \% H9 V+ I9 n
感謝大大分享
作者: ricel00777    時間: 2012-6-18 06:27 PM
我也來寫一個" }8 H' U  s0 D5 O" F
輸入:clk, rst
: Z5 q1 T7 |/ c% B) @4 G* ^8 B& q輸出:tens(十位數), units(個位數)* M  S* i9 I/ d& Z/ s# `" @
4 H9 z' u: p! i  E5 `- x4 M
code :
& C' Z9 C1 F' w+ [' ?
$ I* f5 p5 _; U3 R) \+ Imodule BCD(clk, rst, tens, units);
" X1 }6 R1 k) N6 i  Z" w* X8 D  input clk, rst;+ m, [; {$ B: K0 G
  output [2:0] tens;
6 O" }; F/ f- D3 f  output [3:0] units;
$ n5 k$ c) J! M4 H6 w; G  reg [2:0] tens, xtens;
/ h& S7 h& |1 H: n* K4 ?  ~0 I  reg [3:0] units, xunits;9 l0 Q! Y8 Q# O( T7 A6 V/ |
: w# u! a8 A# _
  always@(posedge clk or posedge rst)4 K' b) S) p8 c% T( g8 ?
  begin1 e- C0 B' p  n; a7 e
    if(rst)
  n, k1 a1 U6 Q9 f7 K. C. _0 f    begin' z  a& o' P& W( h
      tens <= 3'b0;# G  [) U: h, g2 ?3 l9 @; F3 Q
      units <= 4'b0;
- \& @% ]& [. ^: b! w" k: b    end2 g7 S) w# a8 @# o( @* q2 L* U' u
    else% J& G; p7 Z1 o  S: l: H; p# H" b
    begin
+ l' M  h) R% `8 {; Z# m5 u! O      tens <= xtens;
/ o$ m4 J, M8 m& \( R0 {      units <= xunits;
/ X" y  ~& E$ D5 V3 C    end
% c6 k7 N. c# I' k( O  end4 ~1 J. G! b" ?8 _5 V5 X1 O( y
4 {: w- ~* K3 U& Z$ R2 f: `$ Q
  always@(tens or units)
; g2 c; O5 g( Y( u& J& B1 L" A  begin' o5 _, G2 {0 ^- a1 v
    if(units == 4'd9)
7 B5 f: N% |9 [+ w% @$ C! j3 L    begin3 L* c) B- y6 o0 S. L4 e) N
      xunits = 4'b0;
% L2 z% s* ]! g      xtens = tens + 1;' u5 H' g+ n/ M" ^9 |% \( U
      if(tens > 3'd5); o1 A6 r7 R7 j( ^4 p
        xtens = 3'b0;
  a$ e9 Z( P# c6 z; I7 G      else: x% A# a4 X% i. g* a; ]
        xtens = tens;& L& z, K* \5 x- G# |; Z  n1 @
    end# ]) i, w4 w+ |
    else
" y+ W* W+ R  x, E2 f1 h    begin
0 p9 p3 G0 v* C& F" d* D4 `      xunits = units + 1;
, x0 c2 N$ i$ U7 K; x3 I      xtens = tens;
; \$ k9 x) S  B    end
2 g) h9 U: ]- N& l! N  end
% O6 H% J& a# M# Gendmodule
作者: seack    時間: 2013-3-13 11:34 AM
正好需要這部分的資料 希望能有幫助
* {: |$ u; j: {2 h7 {感謝分享!
作者: mrj0702    時間: 2013-3-24 05:51 PM
回復 3# 小朱仔
% ]( q8 J0 w8 k8 v  C
5 ]& L. k* t3 R0 {! H* R- V/ a% O4 P3 ^) @
   感謝您的分享,讓小弟多一份資料可以研讀。
作者: swordshine    時間: 2013-9-11 02:52 PM
不會verilog的話
8 m& F" g; s5 _: a7 L+ |1 ]. @可以上網找有沒有verilog code參考
8 d  E: H+ ^5 O+ E/ f8 ^/ A# V然後合成電路後再參考tool畫的電路來畫
作者: uerfr    時間: 2014-9-4 09:25 PM
加油啊!!!!顶哦!!!!!
  ]* j% A/ a' M0 A, {0 G. e& g7 ^" H" e7 ^* g/ _

3 f2 L+ `. S3 F" l: c1 e. U4 k( K- Y; Z, a) Q* m' o
3 u& z! `- k- v0 Z
! C+ D* T2 ?3 l3 z) i  B
5 l5 w% o6 |; ~$ r* l2 O3 [- d
! d* }( f" V8 R' ^' R7 W

% z( {: u4 E. T
1 A( S3 X; P) ]1 S% c# \. p$ R9 ~' w, V  W) g' u+ {! T
/ \4 }- T: {! ?) a; B  J
bjcars.net
; {9 S' R$ ?  u/ b; h" ^( b0 \& I4 T

' W- `0 S7 t0 o/ S6 {8 i$ P' _0 {/ c9 y
4 ], y+ h, E" _  I( ]1 x: c
5 g' Y0 X5 J4 j" P
: S0 E6 p  g# F  ]

, B. b. l6 P* K- J
  \& ~7 L: |3 b; w4 v* ]$ }5 U# v. z

$ o) `) @! Z3 W+ }& v' d4 c# a0 L9 f6 R& G5 \

8 n5 d2 h3 K5 \/ L& ]- L, Z) Y3 b北京搬家公司电话
作者: KiaMinLiao@G    時間: 2015-1-11 05:43 PM
謝謝哦很有幫助~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
. G7 `: _1 E# ]9 }
作者: jlian168    時間: 2015-3-14 08:20 PM
菜鳥一個,參考先進们的看看先...
作者: syuan08    時間: 2015-7-1 05:18 PM
需要這些資料2 ^# K! S- Q% _' L
, i& m& u' d. n# g6 i
感謝分享!!!
作者: 傅冠智@FB    時間: 2015-9-7 01:16 PM
非常感恩非常感恩非常感恩非常感恩非常感恩非常感恩非常感恩非常感恩非常感恩非常感恩非常感恩9 o8 w' D3 a5 A8 L2 j" G, C

作者: AIC6632    時間: 2015-11-20 06:30 PM
畫電路比較麻煩吧
9 _$ e1 x+ j/ Y! U5 Y這應該邏輯閘超多
作者: Ali-pig    時間: 2016-9-9 07:50 AM
剛好需要這方面資料
; d9 ?+ ~  s: t# d謝謝大分享的講義7 w5 J" r* i/ d3 ]
回覆來看看囉!!
; r9 G4 c  s' F3 Q( t6 x; Y感謝
3 l, z+ U' s1 [2 B; r& r6 B




歡迎光臨 Chip123 科技應用創新平台 (http://free.vireal.world/chip123_website/innoingbbs/) Powered by Discuz! X3.2