|
本帖最後由 lj0113 於 2011-3-27 10:07 PM 編輯
5 w0 F) B/ y; H5 q4 S
# M7 \/ d, e& T* i4 W各位先輩: _* p+ \( ?7 q% r9 m( n9 `! q a
: l0 l8 c9 @+ j) z# ]* r; p9 `小子目前在處理一個硬體,合成後其輸出waveform經過reset,然後再幾個clock cycle 其輸出就開始呈現unknow狀態/ f: @- f7 ^6 ]& c" h: m& U
由於這個硬體不是我負責 我得負責把它合成出來....造成我不確定原因是否是rtl亦或是我DC constraints下的不夠好2 @5 z& V- s5 q% M0 c2 @) [
但我知道有人合成完後,電路是可以function work(不過我實在不太好意思 一直去打擾那人= =)* S+ Z% F8 s1 j, s
8 _4 z2 e4 f c以前我用類似這樣的constraint去合成比較小的電路都是ok的,目前這個電路真的比較大,所以我在想說百分之百一定是DC這邊constraint設定不好= =+ E; q) g3 b9 u7 d' N
導致我合成出來的硬體造成輸出都是unknown, R/ S. A5 m! g% x% d4 m
K了一些資料 但尚未發現一絲一毫哪裡有錯....
" f# _+ _% S4 p4 Q B我的constraints大致設定如下東西 使用的製程是TSMC 0.18um:
% c. j# b) c9 k6 U
% k7 R. B$ [, D& M1 e* |建立clock; y8 q; H. y1 I5 O9 x8 _
; M5 U: f7 h& e! hset_wire_load_model -name tsmc18_wl10 -library slow8 C$ \6 b1 F' x: r5 X
set_driving_cell -library slow -lib_cell DFFX1 -pin {Q} [remove_from_collection [all_inputs] [get_ports clk]]9 {9 f, P c* S, e" S. K
set_driving_cell -library slow -lib_cell BUFX4 -pin {Y} [get_ports clk]8 I' K7 z. g: p4 o- @+ N" r, z
set_input_delay [expr $clk_in_delay + $clk_in_pad_delay] -clock clk [remove_from_collection [all_inputs] [get_ports {clk}]]$ I& k8 \+ U( H/ [2 H* o) G0 N! I
set_output_delay [expr $clk_out_delay + $clk_out_pad_delay] -clock clk [all_outputs]; M' K( d& @9 r( x, \; \- i
set_load [load_of "slow/DFFX2/D"] [all_outputs]
# e6 }6 C1 \, D3 o9 T7 k[remove_from_collection [all_inputs] [get_ports {clk rst_n}]] |
|