Chip123 科技應用創新平台

 找回密碼
 申請會員

QQ登錄

只需一步,快速開始

Login

用FB帳號登入

搜索
1 2 3 4
查看: 7463|回復: 4
打印 上一主題 下一主題

[問題求助] 在synthesis中, 已經set_driving_cell, 還需set_max_capacitance?

[複製鏈接]
跳轉到指定樓層
1#
發表於 2007-1-22 12:54:36 | 只看該作者 回帖獎勵 |倒序瀏覽 |閱讀模式
我是用dc_shell-xg-t
: F) E  v% s: D7 M9 e8 }$ Tset_driving_cell -no_design_rule -lib_cell INV1 -pin ZN [remove_from_collection [all_inputs] [get_ports {clk_osc clk_pllx4 clk_rtc}]]+ K1 }' z9 y9 i* k8 u/ ~
set_max_capacitance [expr [load_of tcb773swc/INV1/I] * 10] [remove_from_collection [all_inputs] [get_ports {clk_osc clk_pllx4 clk_rtc}]]
! P9 r, l' [, ?3 @我已經set_driving_cell在input port, 是否還需要再指定set_max_capacitance?2 a$ V* `6 ^2 V' v# O0 ?
如果需要的話, 原因為何?
分享到:  QQ好友和群QQ好友和群 QQ空間QQ空間 騰訊微博騰訊微博 騰訊朋友騰訊朋友
收藏收藏 分享分享 頂 踩 分享分享
2#
發表於 2007-1-22 13:38:41 | 只看該作者
Maximum capacitance = Load ( Cpins + Cwireload)
0 a4 f8 g3 Z7 Y  r7 ]set_driving_cell 指的是 input drive impedance1 Z! P8 z! A- ~" p8 e
除了 ideal network path 應該兩者都要設
3#
 樓主| 發表於 2007-4-11 20:32:51 | 只看該作者

回復 #1 klim 的帖子

其實如果你後段的APR軟體是用Astro, 那這兩個constrain都不用設,6 y: T4 V! v% M0 B9 R3 q, b8 M
因為Astro會自動幫你加入或刪除buffer.
4#
發表於 2007-4-19 21:36:50 | 只看該作者
真的是這樣嘛( f$ _, G) v  K& N' j8 U
那STA如何來分析呢
5#
發表於 2007-5-22 09:36:11 | 只看該作者
一般在 synthesis/STA 只用 set_driving_cell 就夠了,
; \0 U6 i, s  T2 |/ v至於 output loading 會自動依據選定的 driving cell 在 .lib 中的 table 來設限,
% G2 u$ [- H% H( G6 l5 P% [不過這都只關係到第一個 cell, 對之後的 cell 影響不大,
' t$ D0 q, r. L" g* v所以有些人是不設的直接使用 tool 預設值來分析.6 S$ G) M0 e  U: l) {" w3 y2 }

! q& p4 i6 a# r% j% BAPR tools 目前都可進行 timing driven optimize,1 A) r: Q* F+ H  x
但對這種第一級的 cell 如果不設也是用 tool 預設值,0 U+ e; z: m* w- T5 P
如果是做內部的 block design(不含 IO cells),) e& F0 m/ ]1 m3 J9 ~+ A0 j2 ~
為了模擬前一級的推力建議還是設一下較佳,5 j9 U3 q, E2 g
但如果是 whole chip (含 IO cells) 就不太需要了.

評分

參與人數 1Chipcoin +3 收起 理由
masonchung + 3 精彩答案!

查看全部評分

您需要登錄後才可以回帖 登錄 | 申請會員

本版積分規則

首頁|手機版|Chip123 科技應用創新平台 |新契機國際商機整合股份有限公司

GMT+8, 2024-9-28 08:31 AM , Processed in 0.173010 second(s), 21 queries .

Powered by Discuz! X3.2

© 2001-2013 Comsenz Inc.

快速回復 返回頂部 返回列表