Chip123 科技應用創新平台

 找回密碼
 申請會員

QQ登錄

只需一步,快速開始

Login

用FB帳號登入

搜索
1 2 3 4
查看: 13101|回復: 5
打印 上一主題 下一主題

[問題求助] verilog 寫有限狀態機的問題

[複製鏈接]
跳轉到指定樓層
1#
發表於 2010-4-28 16:49:05 | 只看該作者 回帖獎勵 |倒序瀏覽 |閱讀模式
各位大大( P6 i2 b+ z, W. C4 `+ y" r

) u6 @. t7 e: Q2 p- d. v我使用的是ISE 11.1版,在寫有限狀態機的時候,沒有把所有的狀態寫完,
; M4 q  k% p% P2 T' }
6 u- E  V) g+ Z) N5 Y, B7 k例如,用4bit共16個狀態,我只用到10個狀態,所以就寫10個狀態,# h4 v  `/ W2 a* Z
( E: l  E2 o; I; O% ^! e0 m
最後有加上default,這樣的方式寫RTL simulation 的結果是正確的,
* g9 E$ S4 U* E1 p* F( ]1 C2 n1 @* m
但是,pose-route simulation 的結果就會有錯誤。$ |" E. B9 ]1 P9 l1 Y1 i
+ E  f' O/ `- E( D/ m/ |
不曉得有沒有大大遇到相同的問題,那都是怎麼解決的?
. g. \& k& s/ Q% O
  h$ G4 {1 s) \0 w) H+ ?麻煩各位大大分享一下
  c; {% y' W. e. N
# w+ c7 `. J5 [' O謝謝
分享到:  QQ好友和群QQ好友和群 QQ空間QQ空間 騰訊微博騰訊微博 騰訊朋友騰訊朋友
收藏收藏 分享分享 頂 踩 分享分享
2#
發表於 2010-5-5 20:45:54 | 只看該作者
哈囉! 我發訊息給你囉! 4 r* u. b9 y  g3 _1 m) z+ Y
4 R; U. d7 F% g% q2 ^! w
需要更多的info. 來幫您解決喔!+ I* X) T8 K6 \4 Z# X0 ^

$ e. t7 j  N8 xrussell0916@gmail.com
3#
發表於 2010-5-10 21:34:09 | 只看該作者
有沒有加上這句呢?* v# ^- |# S! v, x/ Z/ c

, u. ^4 w, j9 Z( R4 L"synopsys full_case parallel_case"0 {* C9 G8 T/ P& ~. `1 T4 m
; @# R, h" [3 {7 {% @% Q& Y% ?
幫助描述你的case status..." N+ m9 Q; k4 l# W

: i' i& {9 `: w; _有一篇文章在討論這個:
" U6 C. G5 D2 _* c  d
& x+ u" I0 G; @! Pkunalvyas.com/Documents/fullcase%20and%20parallel%20case.pdf
4#
發表於 2010-5-30 22:13:53 | 只看該作者
回復 1# michael6172
5 ]- r5 ~" _0 o8 ~; q; T* t, {( ~/ t  }  |+ A; }- D0 }1 Q0 r
會這樣通常是RTL model的方式不正確導致合成後產生latch,但沒看到code&你也沒詳細描述是怎樣的錯誤,很難找出問題  v$ h+ h6 E9 i5 A4 s
; ~& e+ p5 R, u' j: e+ \+ L9 t
另外model FSM時,個人習慣分成3個always block:! R2 [5 b4 P6 P* J" x% _3 y+ q

/ B, P7 Y9 l- w: P4 Q  L. |6 Q   1. sequential logic part for state assign
4 N& u, y4 `' v3 o5 c; g- i   2. combinational logic part for state transition
: z# k7 H* s' s/ D   3. combinational logic part for control signals
4 ^1 B' F* _( X5 ]' V/ L
5 t4 \5 e2 k+ }- a* K這樣做的好處是可以讓合成器確切的合出FSM,另外閱讀時也可以很清楚的掌握狀態的改變和每個狀態所要做的事,debug的時候比較方便,希望對你有幫助,FYI。

評分

參與人數 1Chipcoin +5 收起 理由
tommywgt + 5 These are good points

查看全部評分

5#
發表於 2011-12-29 17:53:20 | 只看該作者
樓上建議都是對的.' m6 i0 y% K* I$ `1 l
但是等project 大了, 或是時間趕, 根本懶得 把 sequential & combinational 分開寫. 5 b  u  u& r! d

6 x6 a8 d7 a& M; O! z: T' O  d總言之, 沒看到code, 無法幫您找問題.
6#
發表於 2012-2-14 16:01:55 | 只看該作者
還是要把code貼出來大家才可以幫你抓問題" i8 R8 a+ [& ^, t* S: Z
不然這樣的描述太籠統了
您需要登錄後才可以回帖 登錄 | 申請會員

本版積分規則

首頁|手機版|Chip123 科技應用創新平台 |新契機國際商機整合股份有限公司

GMT+8, 2024-9-28 04:21 AM , Processed in 0.172010 second(s), 19 queries .

Powered by Discuz! X3.2

© 2001-2013 Comsenz Inc.

快速回復 返回頂部 返回列表