Chip123 科技應用創新平台

 找回密碼
 申請會員

QQ登錄

只需一步,快速開始

Login

用FB帳號登入

搜索
1 2 3 4
查看: 4702|回復: 11
打印 上一主題 下一主題

[問題求助] Altera Cyclone II (EP2C35F672C6)燒錄

[複製鏈接]
跳轉到指定樓層
1#
發表於 2008-3-6 11:03:56 | 只看該作者 回帖獎勵 |倒序瀏覽 |閱讀模式
剛拿到這塊kit,寫了一個測試sw跟led; u0 U. Q, N# l5 z& }' w5 H
//==================================================//3 Y+ S& B8 C/ H- M* q: Y7 m  Z
`timescale 1 ns/1 ns! T! U; A3 L6 ~* j

( O$ G- K$ }+ s+ r% C/ T7 q" _, t  module  test_001(5 _  T( c4 M+ d
                   D,% F6 C4 c1 S; |. m7 m- A
                   Q,
& n0 w, r* f7 o$ o: v- R" J                   clk,
& c8 Q1 [+ K% r$ E$ ?                   reset,$ V- v3 ?- `, C) }' [; \
                   QB0 h* {- |* u6 @2 G& y1 }
                   );8 o% @; u! ^0 P* R
input   reset, clk;/ _% y7 Q- L) J  x" W! U% o
input   [3:0] D;+ R7 S8 S  L# K3 N- V
output  [7:0] Q;
3 J5 |; {! X0 v8 Joutput  [7:0] QB;" d! p5 q( k8 c
wire    [7:0] Q;# Z* \! I, N# H6 A
wire    [7:0] QB;
+ T) r1 u) j: R* g; W5 `reg     [7:0] X;% \3 T1 A* J3 |4 r3 _9 {
reg     [7:0] a;- n( B+ q5 `; z3 g- K

/ T  r2 y5 m2 N6 {, ^9 t
5 x1 n; Q0 H0 r" e
# J; ~, v5 f; z* F8 ?5 \5 P# y/ _+ ?& e, y1 i+ [, o
always@(D)
' m4 b+ z& ?/ V  begin
* v+ Y& _6 Q7 a0 l# n; Y" N1 Z         case(D)
# E8 F. V2 e/ o) {             4'b0000   :  X = 8'b0000_0000;
9 A  L- \3 {  {( j, t2 B             4'b0001   :  X = 8'b0000_0011;
" _+ K0 P- S( O' f             4'b0010   :  X = 8'b0000_1100;; G6 o2 n0 T' f( A/ G! ]3 k
             4'b0100   :  X = 8'b0011_0000;# ]0 f/ z. S, }( H( ]
             4'b1000   :  X = 8'b1100_0000;! R* _+ t  e$ c9 Q: ^! d: b
             default   :  X = 8'b1100_0011;7 O7 x# y$ ^$ S7 f
         endcase    # n" i- o0 _0 O% |+ U7 f8 T. U1 K
  end            
6 s% \6 C* m* Y2 s! Y# ^# C  5 @$ \7 h; F- j9 z- F
assign  Q =   a;! Q* F2 ~3 N2 L+ ^+ E9 h
assign  QB = ~a;
3 H6 t2 O) z8 ~) f% N            
. {  E) l3 W7 m! S7 j" N2 Xalways@(posedge clk or negedge reset)! f! O- q& a5 `
  begin
3 I5 g5 e' V7 E( ^- d9 |1 W! w! e     if(!reset)& C! ^5 Y4 F# \0 I5 A
          a = #1 1'b0;
; ~- Z, N! S. s: g  h! x     else
# E6 n$ |( w1 \6 m  U          a = #1 X;) |2 Q. [' M: D$ @6 A
  end                                  - b( }! v7 w' r
   ( h$ L. f4 r( ?. X# q; Z) B
  endmodule' R% O/ f6 g: P9 Y* o
//===========================================================//
5 Z. X) b# z8 h$ J然後以下是Quartus產生的qsf檔。% x7 x. }4 H: v) u9 }- d
//===========================================================//
3 v) P5 ]9 d. u. n; }! v4 M" u# Copyright (C) 1991-2006 Altera Corporation8 z" F4 z) b8 y& P1 B. Z& \! i0 g
# Your use of Altera Corporation's design tools, logic functions 6 ^: t: ^- K  X
# and other software and tools, and its AMPP partner logic
4 N! m. X& c* s/ ?: I# functions, and any output files any of the foregoing
9 [9 v2 {# E9 A/ r2 g# F2 G: [: j# (including device programming or simulation files), and any 5 V# }0 ]. b; G8 B) R4 b2 N
# associated documentation or information are expressly subject % l& y  S2 t; O- ^4 X
# to the terms and conditions of the Altera Program License $ N9 _9 k9 k* V" j* V
# Subscription Agreement, Altera MegaCore Function License
3 C; a  L! N, ]" B7 Q, d# Agreement, or other applicable license agreement, including, $ V# U; I  X# }3 @4 W4 c
# without limitation, that your use is for the sole purpose of
& |; x/ j' n! q# programming logic devices manufactured by Altera and sold by   ^( p" Q( n8 _: P( |
# Altera or its authorized distributors.  Please refer to the
, ]5 U# s8 s, }# applicable agreement for further details.  s) i" e. O- `
- \2 T+ b; E2 ]

5 a. f7 T" P8 I+ O# The default values for assignments are stored in the file( ^% I/ h! [  }' A2 n& W# l. ]
#                test_001_assignment_defaults.qdf) L2 D& ~4 F( @# ?9 Z
# If this file doesn't exist, and for assignments not listed, see file1 I9 b+ E4 Q3 Q. k, j* ~
#                assignment_defaults.qdf
7 ~8 G7 M/ `; Z$ r0 f2 F+ ~
' l+ P% ?/ t: }; [, A# Altera recommends that you do not modify this file. This
# z* u4 K+ w3 S: v' F7 j3 X5 U# file is updated automatically by the Quartus II software
" ^9 W/ G5 m1 x% F/ K$ T# and any changes you make may be lost or overwritten.
+ R/ K' P! u& \8 h# A( K* ~! B7 Y% _' o: Z- S3 g7 _

8 z( T8 A/ Q2 n" x; s/ v+ m( Xset_global_assignment -name FAMILY "Cyclone II"
5 r/ ]- K* t6 p- I1 Tset_global_assignment -name DEVICE EP2C35F672C6# |4 i* w) r+ \
set_global_assignment -name TOP_LEVEL_ENTITY test_001  {+ g' j; h9 ~' s# Q
set_global_assignment -name ORIGINAL_QUARTUS_VERSION 6.0
7 p( ]* _# s) c2 O: W# |/ Oset_global_assignment -name PROJECT_CREATION_TIME_DATE "09:57:03  MARCH 06, 2008"9 Y4 ?6 H9 r# R( E3 c9 ~
set_global_assignment -name LAST_QUARTUS_VERSION 6.0- S' K  X" a8 V2 d6 j
set_global_assignment -name USER_LIBRARIES "D:\\Altera II\\970305\\test\\1/"
7 x3 \) H- ]; ]! j- C2 }set_global_assignment -name DEVICE_FILTER_PIN_COUNT 672
$ {# D. J) Q' j- a0 H9 Sset_global_assignment -name VERILOG_FILE old_test_001.v$ w, |+ A6 o9 Q0 p7 J- U# F0 y
set_location_assignment PIN_Y11 -to D[0]
/ |  C) N+ y1 a* q% l# X! zset_location_assignment PIN_AA10 -to D[1], z& s. z" K( e" r4 c$ p8 w
set_location_assignment PIN_AB10 -to D[2]3 v- d  k, J) A
set_location_assignment PIN_AE6 -to D[3]% t  h6 d, \/ r/ q( p, H
set_location_assignment PIN_AC10 -to Q[0]# o6 a5 A  w$ x1 o; n
set_location_assignment PIN_W11 -to Q[1]/ e3 b4 w" G" q( h, S# `. Q
set_location_assignment PIN_W12 -to Q[2]- \, r- F3 D* J' ], D/ E, z1 Q
set_location_assignment PIN_AE8 -to Q[3]0 ^1 l/ {! Z8 ?7 t2 Z3 s, {
set_location_assignment PIN_AF8 -to Q[4]
3 A) }$ A/ P/ B9 yset_location_assignment PIN_AE7 -to Q[5]
6 B1 s/ y7 x: n) y( \7 C* gset_location_assignment PIN_AF7 -to Q[6]
; Z- m6 w0 W4 z& `set_location_assignment PIN_AA11 -to Q[7]( x( T% |8 J3 L6 d6 h) E
set_global_assignment -name SIGNALTAP_FILE stp1.stp
( V1 }, S0 y: x: x8 @2 r1 ?* k6 qset_global_assignment -name ENABLE_SIGNALTAP ON/ G6 E% [! H7 w. j
set_global_assignment -name USE_SIGNALTAP_FILE stp1.stp
6 D: t1 v2 J7 @7 A: f. ?+ aset_location_assignment PIN_M21 -to reset
' ?" P) \$ X5 b% ?& i! A6 Vset_location_assignment PIN_P25 -to clk& J0 U; a( }" c* G
set_global_assignment -name EDA_DESIGN_ENTRY_SYNTHESIS_TOOL "Design Compiler"5 }! `4 ?9 R2 a8 Q5 Q! w5 ~) {
set_global_assignment -name EDA_INPUT_VCC_NAME VDD -section_id eda_design_synthesis" S" s" ?, f! N+ W) _
set_global_assignment -name EDA_LMF_FILE altsyn.lmf -section_id eda_design_synthesis. R+ F) ^& V" B. X6 Z" m
set_global_assignment -name EDA_INPUT_DATA_FORMAT "VERILOG HDL" -section_id eda_design_synthesis
# F& x5 C/ \! X) d# ~//=================================================================================================//
: A( f: u! W% w. g我的問題是,不知道為何怎麼樣都燒不進kit裡,* h! v- n# L5 S: W+ G
已經排除並非JTAG跟KIT的問題!
5 ]3 F6 L( [4 @. ^/ Z2 R請各位先進一起來分析一下!
分享到:  QQ好友和群QQ好友和群 QQ空間QQ空間 騰訊微博騰訊微博 騰訊朋友騰訊朋友
收藏收藏 分享分享 頂 踩 分享分享
2#
發表於 2008-3-6 11:10:08 | 只看該作者
把programmer的錯誤訊息post出來看看, 光看qsf, 無法知道program的問題....
3#
 樓主| 發表於 2008-3-6 11:13:59 | 只看該作者
) p# y$ g# |; F1 c$ ~1 b: {8 ]
只有WARNING
3 _* Q" l! L2 ~* E- |沒有ERROR
. i" O: g% q) s$ l& l. V這就是我感到奇怪的地方
4#
發表於 2008-3-6 12:02:28 | 只看該作者
所以是有成功??
5 X- ?$ @/ c& o' Y不然把program的畫面抓下來看看..
5#
 樓主| 發表於 2008-3-6 13:31:45 | 只看該作者
# `& j4 T5 F% J4 {# v
, _( e6 f; k4 q. s, R7 c
這是program的畫面
# c: z0 n5 T  ^/ d
# N, k. c# N' `" t/ Q6 s- h
; H& Z1 o/ }6 N: e7 U) l' T2 s
' j) E& e# g, d* N$ h0 r- g; i- j這是assignment pin的畫面& P/ Y! h/ G' x/ U. w
( Z- P  \" F8 `7 }* t

" R( A# D& Q7 ^) H0 V( a
8 V# Z& e1 d' I; G這是燒錄下載到kit的畫面
+ H/ r) {  o  j" h. i3 c
  I. P7 F8 c4 P' r% a* \####################################################
5 F% r0 q! ^1 F# p( b- r到這都很順利,
! r* t$ i# B  ]+ [+ G但是~kit就是不動作!!

本帖子中包含更多資源

您需要 登錄 才可以下載或查看,沒有帳號?申請會員

x
6#
發表於 2008-3-6 14:05:19 | 只看該作者
檢查看看clock pin 是不是assign錯?6 R5 ]+ x& Y3 P* R- s
導致電路不動...
7#
 樓主| 發表於 2008-3-6 14:11:37 | 只看該作者
確定沒有!我對著這塊kit的手冊在assign的
8#
發表於 2008-3-6 16:12:16 | 只看該作者
你那是要做 signaltap 的lab  吧. H' ?6 U& N  B' |9 L
; u$ A! e& v$ T; }% Q- x# w
看看文件中的設定有沒有遺漏的部份
9#
 樓主| 發表於 2008-3-6 16:54:09 | 只看該作者
在我關閉project的時候,會出現以下3個畫面,是否我的signal沒設定好才會使KIT無法動作!; H3 I% `/ U  |9 f5 ^. e
' }  ?( m' ?8 Z! j1 ]3 Q
: {1 j0 G: k# e/ u
/ S* ^0 d. S2 v" G/ N  g

$ B* W7 y3 n8 ^, ?6 O$ s* G, g$ G% K3 n4 [: T

1 Z0 K$ _# t% ], n9 W# ?5 W$ c5 g; G" C% z- a$ ~& s6 J

本帖子中包含更多資源

您需要 登錄 才可以下載或查看,沒有帳號?申請會員

x
10#
發表於 2008-3-7 09:41:44 | 只看該作者
雖然我夠菜了, 但似乎幫的上一點, 所以我就講一點, 還不懂的feature不要亂開啟. Z! d( ^$ E5 o5 ?
上面signaltap2跟in-system memory content editor不要亂開,
4 [6 |$ N$ |3 B6 y9 d特別是signaltap2開了又沒作正確設定, 就會出現最後面關project時的三個畫面
3 U) e* i0 E0 n5 q3 R" }發展板的manual要K完, 有沒特別的jumper要設mode?: ^2 Q* W) ^! F
另外, 下載後, 發展板上config_DONE的燈有沒有亮? 有亮的話就是有正確下載,
. d$ q1 t2 r$ k$ V' S那就是你的設計的問題,
6 o5 ^% @& d' W$ ?這電路你期待會在板子上看到什麼動作? 是否你忘了要用手去扳動對應的D的switch提供輸入? 合成過的電路有沒利用RTL view看看電路是否是你要的?
11#
 樓主| 發表於 2008-3-7 11:52:24 | 只看該作者
! A. y9 Z  W! [' @5 w" V& ]
感謝各位的意見~; Q2 g7 i; e" ?$ X' S6 t  ^+ ?
同樣的描述~
% E5 m' c* p* I  x4 ]我放到altera另外一塊kit→EP2C20F484C8(茂倫)
2 Y* d8 x! {" n; r! U& E2 g所得出的結果就是我要的~
1 _- n9 a# q* r+ p8 M9 L% s差別只在PIN的ASSIGN
8 d9 F2 |1 |, A* b# G( G* p這樣子可能會認為KIT有問題~. o' x3 X/ g- {0 X* n, I
所以~
: \$ g# r  S9 H我又重新寫了一個
+ r2 _  V0 g, D. b. d放到altera EP2C35F672C6這塊KIT~
/ P( t* ?# z, K7 S/ h. l " C; `# j* A5 r
居然可以動作了~/ g7 n1 e! h# R) c
以下就是這段硬體的VERILOG HDL
% ?  R' f5 V" ]0 t# l3 \' ^, o`timescale 1 ns/1 ns
' Z) d3 b; t' x, }# a3 Tmodule chip_top (
9 Q+ ~. ]- M' T9 t0 b! ?/ `                  clk,) y/ ]; d8 Q: g$ k, ~
                  rst_b,
6 J1 A0 w/ W* o& F8 c                  cnt," o9 L0 s' h) Z, }- s: i" l; @
                  seg,  1 [9 }. ^+ c3 u9 C
                  a,
; ~( q$ n- |* d0 |3 D4 W; I                  sel,
  n, B) t7 U5 S7 I                  seg_u9,   
' W/ R! A" y$ h1 i7 B                  rst,- j- J! R) y- R- y( n
                  clock,, n, t, z" S" ~
                 );- ^6 b. b0 t; d  I) ]2 }, v
  
* E9 l* V7 Z# U; J1 b$ s/ z   / n8 c5 [1 q7 ~( l" `
  input  clk;
6 r8 x" q" T; l; D: I' A  input  rst_b;
5 }/ @% W7 @3 H  A' k8 ?  input  a, sel, rst, clock;
7 O3 r( B* h) D6 o6 T  output [7:0] cnt;
4 x% ^+ B; Z. z  output [7:0] seg;
  V  b' m+ A' y! Q  output [7:0] seg_u9;
0 _4 {( B# Y2 H7 n$ i2 ^  reg    [7:0] cnt;$ P) h8 H6 u; n1 i) e+ B! w
  reg    [7:0] seg;$ `% ~! }7 F/ D+ Y5 z
  reg    [7:0] seg_u9;
7 ?. p* i. e; p  reg    [40:0] clk_cnt;+ ?; h9 H3 s- I+ N5 w
  reg    [40:0] clk_seg;7 f% ]# \; _$ ?. A8 h" ?
  reg    [40:0] clk_seg_u9;
2 z8 A) D( ]0 m3 ^+ T( M1 T  a; k    wire   clk_cnt_end = clk_cnt[20];
6 s( [* D$ q# F  U3 x2 I1 e; @   wire   clk_seg_end = clk_seg[20];
) c! f. O5 l, ^, c  wire   clk_seg_u9_end = clk_seg_u9[20];
( }: J, \& g* R# K/ T% a6 A  
- X4 W( c: V9 y' [/ c  
9 K; `, u! Z# I" {//---------------------------------------------------------------
# c" a- c, l) ?  always @(posedge clk or negedge rst_b)5 l3 u" Q7 N: s9 v7 v
    if (!rst_b)
$ j8 S9 ~8 e* y: E              clk_cnt <= 0;
! n4 Z( q0 K& P    else  + V* c5 C! |0 C  @# \
             clk_cnt <= clk_cnt + 1;
, x2 o8 u1 t: c+ T2 I5 r! z4 c" N4 F- H2 n* }- X' ^- j" u
  always @(posedge clk_cnt_end or negedge rst_b)
7 y1 `$ ?! S' K7 t2 N" }    if (!rst_b) 7 \5 o. S* b( m
              cnt <= 0;
9 R* u6 Z: Y0 J) a# S- h, z    else
* K5 e0 o4 j+ J  c) T              cnt <= cnt + 1;# X% p! R4 ]$ y% h# P! E5 S" S. F
3 U# D, z: R5 x4 _
//---------------------------------------------------------------
. R* j  i) ^- j6 _* W( r# h
/ O- A8 l% z% R' D/ G# D
1 }1 X% T' x; r. U// always @(posedge clk or negedge rst_b)/ v8 I& {0 F* V! E. f/ Y
//   if (!rst_b)
3 `) \1 ~% Q+ A) A' B7 Z$ k//               clk_seg <= 0;
5 r- X2 I) b  a2 R//   else , D8 [1 O( J6 r1 ?5 f
//               clk_seg <= clk_seg + 1;
$ z' v, x7 P# o- r2 p4 {  y! x, S- ~- v# w  Y4 j
// always @(posedge clk_seg_end or negedge rst_b)
+ R  \* R" Q; ~2 K; C7 P//   if (!rst_b)
+ L3 U$ @  h# q1 j//              seg <= 0;
0 X4 w  m# q' |  l1 v8 A* l//   else/ Z; S' o5 q/ h5 c
//              seg <= seg + 1;# @- H% e# K1 v( y" s6 |
//---------------------------------------------------------------     / f1 O) u7 c$ |  h7 I
//===============================================================           " c. s. y( u7 r, Y
//  always @(posedge clk or negedge rst_b)- t9 X0 m. j6 d* b2 b
//    if (!rst_b)% d; U) d# b1 D" t% x
//                clk_seg_u9 <= 0;1 i/ O3 C+ P) s
//    else
! O; k" S* a6 z2 Z- [4 {9 t//                clk_seg_u9 <= clk_seg_u9 + 1;
1 o6 ]4 T4 y" U) n0 Y% u
" d. t8 j( j- i3 }* \//  always @(posedge clk_seg_u9_end or negedge rst_b)
6 h& B+ B9 T" M1 G//    if (!rst_b) " x8 a# g# u: h& p- v0 q0 C
//                seg_u9 <= 0;/ y+ R4 z5 d2 d8 h
//    else, P, c$ e2 ?4 f- S; _4 @
//                seg_u9 <= seg_u9 + 1;             7 H$ L* n6 v0 a( v6 z+ Z! \) ]
//===============================================================     
" D) B* [4 `: n* C) Z$ R    always@(sel or a)" T' Y4 x! e2 @6 G- A4 V+ e
   begin( H+ g* W4 G& G( Q
          if  (sel == 1'b1)7 \. u$ {, G8 N% J2 v# p  p$ f
               seg_u9 = 8'b0111_1001;              . X! m  E4 \7 i: i6 Q  G7 h& o
    end     ; C, M, O3 Q# t& t
     always@(sel or a)
- N2 G( I$ i6 }! L3 ^   begin; h$ q4 k, A  ^2 g
          if  (sel == 1'b1)
0 J9 a7 Y# [1 j$ Y7 H8 y# r4 K, h( F              seg = 8'b0010_0100;              # _% H; n8 @, d+ t! d. b  U: D
    end  / ?0 w+ |2 V7 x, x
//===============================================================
1 s( ~  N. {# w- D; l$ n      , O1 Z) V- _- A- N
endmodule
12#
發表於 2008-3-11 12:01:56 | 只看該作者

社群真是個好地方

嗯, 一個問題丟出來後會有很多大大都幫忙, 社群真是個好地方
您需要登錄後才可以回帖 登錄 | 申請會員

本版積分規則

首頁|手機版|Chip123 科技應用創新平台 |新契機國際商機整合股份有限公司

GMT+8, 2024-11-17 12:33 AM , Processed in 0.173010 second(s), 18 queries .

Powered by Discuz! X3.2

© 2001-2013 Comsenz Inc.

快速回復 返回頂部 返回列表