Chip123 科技應用創新平台

 找回密碼
 申請會員

QQ登錄

只需一步,快速開始

Login

用FB帳號登入

搜索
1 2 3 4
查看: 4096|回復: 5
打印 上一主題 下一主題

[問題求助] 請問一下有關於寫do file的問題

[複製鏈接]
跳轉到指定樓層
1#
發表於 2007-4-19 17:28:16 | 只看該作者 回帖獎勵 |倒序瀏覽 |閱讀模式
各位先進大家好,在進行編譯前我想先連結Xilinx的元件庫路徑如下 C:\Xilinx\verilog\src\simprims 然後再進行編譯: D" [1 r! e; r
所以我該在下列註解列內  怎麼寫才能自動連結到該元件庫
7 v: X9 J/ w* T9 W# O8 qquit -sim! K7 R% c/ W) K) o7 \
cd D:/QUEUERAM9 w9 \% g+ Y6 O4 B! @6 w/ ]3 \) U
--do run.do
. L; ]2 f4 U" m( X) j4 A) _( I) |) y2 B9 }
vlib work
  r9 i' }" Z8 d- y9 K4 Wvmap work work* U  ]8 W1 [  c* a* Z
2 ?, `6 g' R8 _( G; H  _
//*****************! ]/ O/ {  X* J! s  L
C:/Xilinx/verilog/src/simprims
( Q( p5 t% `+ x7 l! u0 @/ `7 y* r8 N5 i, A- O- o: j
******************//& E( w; A: x0 u2 v6 v
vlog QUEUERAM.v
' d( t$ W5 b8 [+ j' `4 Jvlog Queue_By_RAM_timesim.v( d$ v' b4 t4 E/ P
vlog testbench_QUEUERAM.v. p/ h. C) K2 D- d

5 U4 ~2 r  `' ]3 Y: |vsim work.t  work.glbl$ Q8 U2 n' ?: c4 C& x# ~

. v) E! A' B* H- z. r--add wave *
/ I0 C' m. e. ?. ]) M! r( E6 O) q7 R( g
run -all
# R! K! S' w% e  _2 S" @4 H; {1 d- D9 N. J

% M5 P) s' y% k: f
. n  u+ `3 [# G* y! P( B! t2 B; M: A1 }% A/ b
麻煩大家了,謝謝
分享到:  QQ好友和群QQ好友和群 QQ空間QQ空間 騰訊微博騰訊微博 騰訊朋友騰訊朋友
收藏收藏 分享分享 頂 踩 分享分享
2#
發表於 2007-5-1 13:57:50 | 只看該作者

如何得到RDB?

如何得到RDB?   我是新手 今天爬文看到有人POST找資料的東西# r$ S7 M6 o& [. }7 L+ N, Z
但是要有RDB購買??
6 A' d* t& E- Y1 o% B請問要如何如何得到RDB?
3#
發表於 2007-5-8 16:34:24 | 只看該作者
原帖由 mclaren_18 於 2007-5-1 01:57 PM 發表 3 I3 _: Q1 M/ f, o1 y7 B) u
如何得到RDB?   我是新手 今天爬文看到有人POST找資料的東西
2 k7 z" E! z# }  k但是要有RDB購買??& w8 C7 H% |9 C. p7 Y+ Z
請問要如何如何得到RDB?

0 Z9 s/ F" E1 O5 l6 n- H, o6 M! m! a+ ]( t9 y
請參考http://www.chip123.com/phpBB/vie ... &extra=page%3D1
4#
發表於 2007-5-8 16:37:41 | 只看該作者
回到主題...我想一定有人會回這個問題的, 只是不知道為什麼沒人回, 難道大家還是不太喜歡分享嗎?2 K( r1 n# y$ c5 k, d! Z+ ]
# e/ O/ E7 c9 u  t
老實說我也不知道正的答案, 我想在ModelSim內應該有指令可以直接連結lib,
) Q5 h" u$ B2 P5 P我比較笨...我的做法是把code放到lib的目錄內就一定可以用而且不用設定...別罵我...我已經說我比較笨了...
5#
 樓主| 發表於 2007-5-11 17:31:21 | 只看該作者

回復 #4 tommywgt 的帖子

哈! 別這麼說,至少版大也提供個方法,   不過還是希望能知道dofile的詳細寫法     run起來挺屌的
6#
發表於 2007-5-11 22:54:59 | 只看該作者
類似下面的方法:
; I! y0 O4 ]. u, m4 n) D% |! Zvmap unisims_ver C:/Xilinx/mti_se/unisims_ver/2 D; ~5 ^0 I2 a9 h; Z8 v& q
vsim -Lf unisims_ver -t ps +notimingchecks work.tb
8 N# _' Q7 m* h5 P9 @0 ]1 N# r1 ^將 unisims_ver 改成 simprims 就可以了!$ L( X. Q; r3 F
4 c$ E/ ^1 V% H$ w
[ 本帖最後由 zanthia 於 2007-5-11 10:56 PM 編輯 ]

評分

參與人數 1Chipcoin +3 收起 理由
masonchung + 3 熱心助人!

查看全部評分

您需要登錄後才可以回帖 登錄 | 申請會員

本版積分規則

首頁|手機版|Chip123 科技應用創新平台 |新契機國際商機整合股份有限公司

GMT+8, 2024-9-28 12:21 PM , Processed in 0.225013 second(s), 21 queries .

Powered by Discuz! X3.2

© 2001-2013 Comsenz Inc.

快速回復 返回頂部 返回列表